PDA

Archiv verlassen und diese Seite im Standarddesign anzeigen : fehler beim bauen mit Audioniek git



Seiten : 1 [2] 3

pablocool
05.06.2019, 08:20
Then this is good seller. Just remember to check capacitors from time to time and your receiver will live long life :)

Regards
pablocool

Audioniek
22.07.2019, 19:20
Hello pablocool,

Again thanks for your feedback again. Meanwhile I have acquired an unmodified BSKA, two unmodified BXZB's and a BSLA already converted to Enigma2, as they are ridiculously cheap in Poland if you now where to look, although they are used receivers (seller says so honestly and even throws in a new remote and a new external power supply). The BSKA has received a new set of power supply capacitors and has been converted to E2 by myself (using the 2nd mother board and parallel connected NAND flash memories procedure), so now I have at least one receiver with VFD and one with LED to develop with.

I would like have some assistance with some things, and will send you a PM on those.

Currently I am working on the kernel and have arrived at the point that it starts and creates the necessary MTD-partitions in flash, although at the moment with the wrong numbering. I am trying to find out if the remainder of the NOR flash (just over 3.5 Mbyte) can be added to the NAND partition for E2, using MTD_CONCAT. The existing images floating around in the net all are built without the kernel config stored inside the kernel, so I had to rework the incorrect config for the git myself step by step.

The images are developed for the boot setting NAND, not PKT, although the PKT setting has 1 Mbyte more room for E2, but everybody uses the NAND variant nowadays.

The general impression I have gained so far is that these boxes were built to a price and thoroughly hammered shut (original software does not even start without a smartcard inserted and is in Polish only for instance). Unfortunately this is also true for the software made so far to make it run E2: I encounter error after error (for instance the NAND setting allow for a (rather large) 4 Mbyte kernel, but the boot loader only loads the first 3 Mbyte (valid for B4t, Freebox and MAGUS loaders)). A general remark to the Polish developers: the rest of the world usually does not speak/read Polish. I translate any Polish comment to English and put the result in my git(s).

The DEBUG output on the BZZB has been solved. I was sent on the wrong path by your picture of the RS-232 converter and an error on the internet. Your picture shows a FTDI-based UART board with TTL outputs. The Debug connector, when activated, is already a TTL-output RS-232 port, so you only need a simple level converter (based on a MAX232 or MAX3232 chip) to get the communication going. The error on the internet had the Tx and Rx pins swapped. As a further hindrance, by MAX3232 converter board turned out to be broken. After fixing all this, minicom showed the familiar debug messages and work could begin.

I plan to present a complete description for the E2 conversion in English, and to add all files needed for it soon. The files needed cannot be found in one easy location, and I encountered many dead links. The description for BSKA/BSLA has been finished and tested: my BSKA and BZZB are used for developing.

Now you know the state of nBox affairs over here.

Regards,

Audioniek.

pablocool
23.07.2019, 10:36
Hi

Great you managed to convert BSKA to E2 with mother board method. Yes these receivers are very cheap in Poland. BXZB you are able to buy around 30PLN. BSKA around 70 PLN, BSLA/BZZB around 90 PLN

As I sent you via PM, this will solve many of your questions regarding kernel config/NAND/NOR/ethernet/etc:
https://misiak.mini.net.pl/~marcinkk/pablocool/

Unfortunately freebox E2 sources are not available. Or at least I failed to found them.

Besides all these shortcomings you mention, and which are really true, I think freebox E2 works pretty well on these boxes.
On modified kernel I succeded running E2 from your git also well.
Only my last try failed with fault: "(gst-plugin-scanner:703): GStreamer-WARNING **: Failed to load plugin '/usr/lib/gstreamer-1.0/libgstalsa.so': /usr/lib/gstreamer-1.0/libgstalsa.so: undefined symbol: snd_pcm_get_chmap"
Dont know if you fixed it already:) With HW you will have opportunity to fix all issues I think:)

Regarding Debug I think you can use simple level converter (based on a MAX232 or MAX3232 chip) when you connect to COM port in PC.
I use usb ttl converter successfully. I dont know why it does not work for you. (I will send you photos of my setup when I am back home)
Edit: this is my debug cable based on FTDI FT232RL
15510

Sure. I will be happy to make deskcheck of your English version of tutorial.

regards
Paweł

Audioniek
23.07.2019, 20:29
@pablocool:

Now I understand your way of working: the FTDI module is connected to a PC on the USB side, and directly to the DEBUG connector in the receiver, skipping the TTL->RS232 voltage conversion twice. Clever, but I use a standard COM-port in by destop PC which has RS232 levels so I need the MAX(3)232 approach only on the receiver side.
Thank for adding your photo, it made me understand.

Regards,

Audioniek.

atari
22.09.2019, 02:55
os it possible to use bzzb model for e2/tvheadend/minisatip whitout swaping cpu & nand? is posible to disable nand & use usb or hdd?

Audioniek
24.09.2019, 11:37
Hello,

With nand I assume you mean the NOR flash. There is no scenario in which swapping the nand flash is necessary. The NOR flash holds the boot loader, both in the original situation as well as with Enigma2, Neutrino or TvHeadend. The CPU swap can be avoided by installing a socalled modchip, but this still requires swapping the NOR flash to get the correct boot loader. Swapping NOR flash is very hard in case you have a receiver with glued over flash chips although it can be done. Installing a modchip plus NOR flash swap is offered by several parties on the net for usually a modest fee.

Regards,

Audioniek.

atari
03.10.2019, 08:44
i will try to bay mod chip, flash is not glued i can try to swap it.

for topf7700 i get for enigma2 error:

Patching libglib2 2.54.0 completed.

configure: WARNING: using cross tools not prefixed with host triplet
configure: WARNING:
You will not be able to create source packages with 'make dist'
because gtk-doc >= 1.20 is not found.
CDPATH="${ZSH_VERSION+.}:" && cd . && /bin/sh /opt/buildsystem/build_tmp/glib-2.54.0/missing aclocal-1.15 -I m4macros
/opt/buildsystem/build_tmp/glib-2.54.0/missing: line 81: aclocal-1.15: command not found
WARNING: 'aclocal-1.15' is missing on your system.
You should only need it if you modified 'acinclude.m4' or
'configure.ac' or m4 files included by 'configure.ac'.
The 'aclocal' program is part of the GNU Automake package:
<http://www.gnu.org/software/automake>
It also requires GNU Autoconf, GNU m4 and Perl in order to run:
<http://www.gnu.org/software/autoconf>
<http://www.gnu.org/software/m4/>
<http://www.perl.org/>
make[1]: *** [aclocal.m4] Error 127
make: *** [/opt/buildsystem/.deps/libglib2] Error 2

i try tvheadend too, but cant access tru web
some files /usr/local/share/tvheadend needed maybe?

btw i have sagemcom uhd90 with sti7105, simular to sagem88 thet is in list, how i can try this one?

pablocool
21.10.2019, 07:57
I guess uhd90 is similar to uhd88. And uhd88 is very similar to ESI88. Difference is that it has dvbt, LED display (esi88 has VFD), and 2 USB instead 1. However for some reason uhd88 has problem with tuner driver which source code is not public. Many people report that problem. During channel change it display pixelate picture. I would suggest using ESI88 which has jtag pins available (uhd88 does not have).

Audioniek
22.10.2019, 18:19
@atari

You are probably working on an older Linux distribution that stil has automake 1.14. Either upgrade your system or patch the line in configure.ac that holds the minimum version number for automake (search for 1.15).

Regards,

Audioniek.

atari
13.11.2019, 08:27
thanks it worked on newer ubuntu it compile e2 witout error, but now for tvheadend i get:
Starting Tvheadhead build
==============================

Repository : https://github.com/tvheadend/tvheadend.git
Revision : 4931c0544885371b85146efad4eacd9683ba3dad
Diff : 1

Updating archived Tvheadend git... done.
Checking out HEAD... done.
Copying local git content to build environment... done.
Checking out revision 4931c0544885371b85146efad4eacd9683ba3dad...error: Your local changes to the following files would be overwritten by checkout:
src/webui/static/icons/control_repeat_blue.png
src/webui/static/icons/hires/airplane.png
src/webui/static/icons/hires/ambulance.png
src/webui/static/icons/hires/american_football.png
src/webui/static/icons/hires/artist_pallette.png
src/webui/static/icons/hires/automobile.png
src/webui/static/icons/hires/baby.png
src/webui/static/icons/hires/ballot_box_with_ballot.png
src/webui/static/icons/hires/baseball.png
src/webui/static/icons/hires/basketball_and_hoop.png
src/webui/static/icons/hires/beach_with_umbrella.png
src/webui/static/icons/hires/bow_and_arrow.png
src/webui/static/icons/hires/boxing_glove.png
src/webui/static/icons/hires/cactus.png
src/webui/static/icons/hires/castle.png
src/webui/static/icons/hires/chart_with_upwards_trend.png
src/webui/static/icons/hires/clapper_board.png
src/webui/static/icons/hires/collision_symbol.png
src/webui/static/icons/hires/construction_worker.png
src/webui/static/icons/hires/cooking.png
src/webui/static/icons/hires/couch_and_lamp.png
src/webui/static/icons/hires/dancer.png
src/webui/static/icons/hires/elephant.png
src/webui/static/icons/hires/extraterrestrial_alien.png
src/webui/static/icons/hires/face_with_tears_of_joy.png
src/webui/static/icons/hires/family.png
src/webui/static/icons/hires/film_frames.png
src/webui/static/icons/hires/fishing_pole_and_fish.png
src/webui/static/icons/hires/game_die.png
src/webui/static/icons/hires/ghost.png
src/webui/static/icons/hires/graduation_cap.png
src/webui/static/icons/hires/grinning_face.png
src/webui/static/icons/hires/high_heeled_shoe.png
src/webui/static/icons/hires/horse_face.png
src/webui/static/icons/hires/house_with_garden.png
src/webui/static/icons/hires/ice_skate.png
src/webui/static/icons/hires/left_pointing_magnifying_glass.png
src/webui/static/icons/hires/link_symbol.png
src/webui/static/icons/hires/martial_arts_uniform.png
src/webui/static/icons/hires/microphone.png
src/webui/static/icons/hires/microscope.png
src/webui/static/icons/hires/military_medal.png
src/webui/static/icons/hires/money_with_wings.png
src/webui/static/icons/hires/musical_note.png
src/webui/static/icons/hires/newspaper.png
src/webui/static/icons/hires/no_one_under_eighteen_symbol.png
src/webui/static/icons/hires/paw_prints.png
src/webui/static/icons/hires/pencil.png
src/webui/static/icons/hires/performing_arts.png
src/webui/static/icons/hires/person_doing_cartwheel.png
src/webui/static/icons/hires/person_in_lotus_position.png
src/webui/static/icons/hires/person_lifting_weights.png
src/webui/static/icons/hires/personal_computer.png
src/webui/static/icons/hires/place_of_worship.png
src/webui/static/icons/hires/police_officer.png
src/webui/static/icons/hires/racing_car.png
src/webui/static/icons/hires/racing_motorcycle.png
src/webui/static/icons/hires/radio.png
src/webui/static/icons/hires/red_heart.png
src/webui/static/icons/hires/selfie.png
src/webui/static/icons/hires/shopping_trolley.png
src/webui/static/icons/hires/skier.png
src/webui/static/icons/hires/skull.png
src/webui/static/icons/hires/soccer_ball.png
src/webui/static/icons/hires/spade_suit.png
src/webui/static/icons/hires/speaking_head_in_silhouette.png
src/webui/static/icons/hires/speech_balloon.png
src/webui/static/icons/hires/sports_medal.png
src/webui/static/icons/hires/spy.png
src/webui/static/icons/hires/squared_new.png
src/webui/static/icons/hires/sun_behind_cloud.png
src/webui/static/icons/hires/swimmer.png
src/webui/static/icons/hires/television.png
src/webui/static/icons/hires/tennis_racquet_and_ball.png
src/webui/static/icons/hires/unicorn_face.png
src/webui/static/icons/hires/wrestlers.png
src/webui/static/icons/plugin_disabled.png
src/webui/static/img/doc/introduction/screenshot.png
Please commit your changes or stash them before you switch branches.
Aborting
done.
Applying diff-1 patch...
patching file Makefile
Hunk #1 FAILED at 97.
1 out of 1 hunk FAILED -- saving rejects to file Makefile.rej
patching file configure
Hunk #1 succeeded at 117 (offset 7 lines).
Hunk #2 succeeded at 215 (offset 54 lines).
Hunk #3 succeeded at 797 (offset 128 lines).
patching file src/tvheadend.h
Hunk #1 succeeded at 64 (offset -6 lines).
Hunk #2 succeeded at 351 with fuzz 1 (offset -520 lines).
patching file src/input/mpegts.c
patching file src/input/mpegts.h
Hunk #1 succeeded at 75 (offset 2 lines).
patching file src/input/mpegts/satip/satip.c
Hunk #1 succeeded at 887 (offset 82 lines).
Hunk #2 succeeded at 1365 (offset 90 lines).
patching file src/input/mpegts/satip/satip.h
patching file src/main.c
Hunk #1 FAILED at 82.
Hunk #2 succeeded at 804 with fuzz 1 (offset 62 lines).
Hunk #3 succeeded at 875 (offset 66 lines).
Hunk #4 succeeded at 1008 (offset 68 lines).
Hunk #5 succeeded at 1358 (offset 78 lines).
1 out of 5 hunks FAILED -- saving rejects to file src/main.c.rej
make/tvheadend.mk:28: recipe for target '/opt/buildsystem/.deps/tvheadend.do_prepare' failed
make: *** [/opt/buildsystem/.deps/tvheadend.do_prepare] Error 1



main.c.rej:
--- src/main.c
+++ src/main.c
@@ -82,6 +82,7 @@
#include <openssl/err.h>
#include <openssl/rand.h>
#include <openssl/engine.h>
+#include <stropts.h>

pthread_t main_tid;

Audioniek
13.11.2019, 19:25
Fixed; diff 3 should now build. The other diffs produce errors I have not addressed yet.

Regards,

Audioniek.

atari
13.11.2019, 21:42
================================================== ============

Start build of tvheadend.
cd /opt/buildsystem/build_source/tvheadend; \
make -j17 all
make -f Makefile.webui LANGUAGES="ach ady ar bg cs da de en_US en_GB es et fa fi fr he hr hu it ko lv lt nl no pl pt ro ru sl sk sq sv tr uk zh zh-Hans" all
Receiving data/dvb-scan from https://github.com/tvheadend/dtv-scan-tables.git#tvheadend
make -f Makefile.webui WEBUI=std compile-std
CC src/uuid.o
CC src/main.o
CC src/tvhlog.o
CC src/tprofile.o
make[3]: *** No rule to make target 'src/webui/static/extjs/adapter/ext/ext-base.js', needed by 'src/webui/static/tvh.js.gz'. Stop.
Makefile.webui:242: recipe for target 'all' failed
make[2]: *** [all] Error 2
CC src/idnode.o
Makefile:841: recipe for target 'make_webui' failed
make[1]: *** [make_webui] Error 2
make[1]: *** Waiting for unfinished jobs....
CC src/prop.o
CC src/wrappers.o
CC src/proplib.o
CC src/tvh_thread.o
CC src/utils.o
src/main.c: In function 'main':
src/main.c:1382:7: error: unused variable 'ret' [-Werror=unused-variable]
int ret = write(file_vfd, vfddata.data, vfddata.length);
^
src/main.c: At top level:
cc1: error: unrecognized command line option "-Wno-stringop-overflow" [-Werror]
cc1: error: unrecognized command line option "-Wno-stringop-truncation" [-Werror]
cc1: all warnings being treated as errors
Makefile:718: recipe for target '/opt/buildsystem/build_source/tvheadend/build.linux/src/main.o' failed
make[1]: *** [/opt/buildsystem/build_source/tvheadend/build.linux/src/main.o] Error 1
make/tvheadend.mk:100: recipe for target '/opt/buildsystem/.deps/tvheadend.do_compile' failed
make: *** [/opt/buildsystem/.deps/tvheadend.do_compile] Error 2

sadaghiani
15.11.2019, 17:42
Is it possible to compile a neutrino image for fortis HS 7429 ?

Audioniek
16.11.2019, 09:56
Yes it is. My preference would be Tango's Neutrino as this supports the HS7429 features best. Both flash and USB images should build without problems and should work nicely.

I will have a look at tvheadend again, as you clearly run into a problem I do no have.

Regards,

Audioniek.

sadaghiani
16.11.2019, 13:41
Tangos Neutrino HS7429
----------------------------



Patching libstb-hal-tangos completed.
libtoolize: putting auxiliary files in '.'.
libtoolize: linking file './ltmain.sh'
libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'.
libtoolize: linking file 'm4/libtool.m4'
libtoolize: linking file 'm4/ltoptions.m4'
libtoolize: linking file 'm4/ltsugar.m4'
libtoolize: linking file 'm4/ltversion.m4'
libtoolize: linking file 'm4/lt~obsolete.m4'
configure.ac installing './compile'
configure.ac installing './config.guess'
configure.ac installing './config.sub'
configure.ac installing './install-sh'
configure.ac installing './missing'
common/Makefile.am: installing './depcomp'
configure: error: unsupported value hs7429 for --with-boxmodel
make/neutrino.mk:194: recipe for target '/home/amir/buildsystem-master/build_source/libstb-hal-tangos/config.status' failed
make: *** [/home/amir/buildsystem-master/build_source/libstb-hal-tangos/config.status] Error 1

atari
17.11.2019, 10:23
after update git same error

Audioniek
19.11.2019, 15:13
@sadaghiani
(http://www.hdmedia-universe.com/board/member.php?1037-sadaghiani)
I have fixed the Tango-neutrino patches, so the hs7429 should be recognized now.

Regards,

Audioniek.

Audioniek
20.11.2019, 00:02
@atari

I have tested the tvheadend build for hs7429 and with diff=3 the build completes without any problem. As reported before, diffs 1 and 2 do give problems, but at moment I do not have the time to address these. Are sure you have latest version of the buildsystem and you have used diff 3?

Regards,

Audioniek.

sadaghiani
23.11.2019, 18:30
Sorry for the delay
Because my country was off the internet
---------------------------------------------
Tangos Neutrino HS7429



Patching libstb-hal-tangos completed.


libtoolize: putting auxiliary files in '.'.
libtoolize: linking file './ltmain.sh'
libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'.
libtoolize: linking file 'm4/libtool.m4'
libtoolize: linking file 'm4/ltoptions.m4'
libtoolize: linking file 'm4/ltsugar.m4'
libtoolize: linking file 'm4/ltversion.m4'
libtoolize: linking file 'm4/lt~obsolete.m4'
configure.ac installing './compile'
configure.ac installing './config.guess'
configure.ac installing './config.sub'
configure.ac installing './install-sh'
configure.ac installing './missing'
common/Makefile.am: installing './depcomp'
configure: error: unsupported value hs7429 for --with-boxmodel
make/neutrino.mk:194: recipe for target '/home/amir/buildsystem-master/build_source/libstb-hal-tangos/config.status' failed
make: *** [/home/amir/buildsystem-master/build_source/libstb-hal-tangos/config.status] Error 1

sadaghiani
23.11.2019, 20:12
Tangos Neutrino HS7429


Start build of libstb-hal-tangos.
Update local git... done.
Checking out commit e64294ac2f42d0bddb5c297decd75d4161ab72b7... done.
Applying Patch: /home/amir/buildsystem/patches/libstb-hal-tangos.patch
patching file acinclude.m4
patching file include/cs_frontpanel.h
patching file libduckbox/hardware_caps.c
Hunk #1 succeeded at 138 with fuzz 1 (offset -11 lines).
Hunk #2 succeeded at 158 with fuzz 2 (offset -12 lines).
Hunk #3 succeeded at 168 with fuzz 2 (offset -13 lines).
Hunk #4 FAILED at 190.
Hunk #5 succeeded at 187 with fuzz 2 (offset -15 lines).
Hunk #6 succeeded at 198 (offset -25 lines).
Hunk #7 succeeded at 244 (offset -25 lines).
Hunk #8 succeeded at 297 (offset -25 lines).
Hunk #9 succeeded at 327 (offset -25 lines).
Hunk #10 succeeded at 350 with fuzz 2 (offset -11 lines).
1 out of 10 hunks FAILED -- saving rejects to file libduckbox/hardware_caps.c.rej
patching file libeplayer3-sh4/input.cpp
patching file tools/spark_fp.c
patching file libspark/hardware_caps.c
Hunk #3 succeeded at 48 with fuzz 2.
Hunk #4 FAILED at 78.
Hunk #5 FAILED at 186.
2 out of 5 hunks FAILED -- saving rejects to file libspark/hardware_caps.c.rej
make/neutrino.mk:161: recipe for target '/home/amir/buildsystem/.deps/libstb-hal-tangos.do_prepare' failed
make: *** [/home/amir/buildsystem/.deps/libstb-hal-tangos.do_prepare] Error 1

sadaghiani
27.11.2019, 19:14
++++++++++++++++++++++++++++++++++++++++++++++++++ +++++++++++++++++++++
+
+ Summary
+ =======
+
+ Receiver : hs7429
+ Linux version : linux-sh4-2.6.32-71
+ Kernel patch level : P0217
+ Image : Tvheadend
+ Will run in/on : flash
+
++++++++++++++++++++++++++++++++++++++++++++++++++ +++++++++++++++++++++


-- Prepare root -------------------------------------------------------


Prepare Tvheadend root for hs7429.




Root preparation completed.




-- ERROR! -------------------------------------------------------------


!!! ERROR: DEVS ARE MISSING !!!
APPARENTLY MAKEDEV IN prepare_root.sh FAILED.


Exiting...
-----------------------------------------------------------------------

pablocool
28.11.2019, 15:30
Same error for me for config:

KBUILD_VERBOSE=normal
BOXTYPE=adb_box
KERNEL_STM=p0217
IMAGE=neutrino
FLAVOUR=neutrino-mp-tangos
PLUGINS_NEUTRINO=Yes
OPTIMIZATIONS=size
MEDIAFW=buildinplayer
EXTERNAL_LCD=none
DESTINATION=flash

pablocool
02.12.2019, 08:22
"Build of neutrino-mp-tangos for adb_box successfully completed."

Thank you for fix !

sadaghiani
02.12.2019, 22:59
-- Result -------------------------------------------------------------


Output file(s) created in /home/amir/buildsystem/flash/out:


total 37M
-rw-r--r-- 1 19M Dec 2 13:57 hs7429_neutrino_builtin_flash_P217_CDK-rev1327_HAL-rev1562_NMP-rev14842-tangos.zip
-rw-r--r-- 1 19M Dec 2 13:57 hs7429_neutrino_builtin_flash_R270130A0.ird
-rw-r--r-- 1 33 Dec 2 13:57 hs7429_neutrino_builtin_flash_R270130A0.ird.md5


-- Finished -----------------------------------------------------------

atari
03.12.2019, 12:03
ufs910 with tvh.:



--------------------------------------------------------------
Build of tvheadend completed.

================================================== ============

Start build of tvheadend_release.
Copying image to release directory...install: cannot stat '/opt/buildsystem/root/release/rcS_tvheadend_ufs910': No such file or directory
make: *** [tvheadend_release_base] Error 1


sagemcom88:
--------------------------------------------------------------
Build of tvheadend completed.

================================================== ============

Start build of tvheadend_release.
Copying image to release directory...install: cannot stat '/opt/buildsystem/root/release/rcS_tvheadend_sagemcom88': No such file or directory
make: *** [tvheadend_release_base] Error 1
--------------------------------------------------------------


adb_box:

Build of tvheadend completed.

================================================== ============

Start build of tvheadend_release.
Copying image to release directory...install: cannot stat '/opt/buildsystem/root/release/rcS_tvheadend_adb_box': No such file or directory
make: *** [tvheadend_release_base] Error 1


for 7700 works ok

sadaghiani
03.12.2019, 12:43
++++++++++++++++++++++++++++++++++++++++++++++++++ +++++++++++++++++++++
+
+ Summary
+ =======
+
+ Receiver : hs7429
+ Linux version : linux-sh4-2.6.32-71
+ Kernel patch level : P0217
+ Image : Tvheadend
+ Will run in/on : flash
+
++++++++++++++++++++++++++++++++++++++++++++++++++ +++++++++++++++++++++


-- Prepare root -------------------------------------------------------


Prepare Tvheadend root for hs7429.




Root preparation completed.




-- ERROR! -------------------------------------------------------------


!!! ERROR: DEVS ARE MISSING !!!
APPARENTLY MAKEDEV IN prepare_root.sh FAILED.


Exiting...
-----------------------------------------------------------------------

sadaghiani
03.12.2019, 19:54
HS 7429 Flash version (Neutrino tangos)
-----------------------------------------
Very very smooth image without problems
------------------------
setting for continius vfd inactived

uniqad
03.12.2019, 21:09
After update to the latest december`s commits I get an error:


Starting OpenPLi Enigma2 build
==============================
configure: error: Package requirements (freetype2 fribidi glib-2.0 libdvbsi++ libpng libxml-2.0 sigc++-2.0 libssl libcrypto) were not met:


No package 'sigc++-2.0' found


Consider adjusting the PKG_CONFIG_PATH environment variable if you
installed software in a non-standard prefix.


Alternatively, you may set the environment variables BASE_CFLAGS
and BASE_LIBS to avoid the need to call pkg-config.
See the pkg-config man page for more details.
make/enigma2.mk:192: recipe for target '/home/uniqad/Desktop/Z/build_source/enigma2/config.status' failed
make: *** [/home/uniqad/Desktop/Z/build_source/enigma2/config.status] Error 1

sadaghiani
03.12.2019, 21:24
Starting OpenPLi Enigma2 build
==============================


Repository : https://github.com/OpenPLi/enigma2.git
Revision : 4d853c931badcca8d7851209c75db0a6dfe47e67
Diff : 2


Cloning remote OpenPLi git... done.
Copying local git content to build environment... done.
Checking out revision 4d853c931badcca8d7851209c75db0a6dfe47e67... done.
Applying diff-2 patch...
patching file configure.ac
patching file mytest.py
patching file data/Makefile.am
patching file data/skin_default/Makefile.am
patching file data/fonts/Makefile.am
patching file data/skin_display_default.xml
patching file lib/base/encoding.cpp
patching file lib/base/estring.cpp
patching file lib/base/estring.h
patching file lib/base/smartptr.h
patching file lib/driver/Makefile.inc
patching file lib/driver/hdmi_cec.cpp
patching file lib/driver/hdmi_cec.h
patching file lib/driver/rc.cpp
patching file lib/driver/rcinput.cpp
patching file lib/driver/vfd.cpp
patching file lib/driver/vfd.h
patching file lib/dvb/decoder.cpp
patching file lib/dvb/demux.cpp
patching file lib/dvb/dvb.cpp
patching file lib/dvb/dvb.h
patching file lib/dvb/dvbtime.cpp
patching file lib/dvb/epgcache.cpp
patching file lib/dvb/filepush.cpp
patching file lib/dvb/filepush.h
patching file lib/dvb/frontend.cpp
patching file lib/dvb/pmt.cpp
patching file lib/dvb/pmt.h
patching file lib/dvb/scan.cpp
patching file lib/dvb/streamserver.h
patching file lib/dvb/tstools.cpp
patching file lib/dvb/volume.cpp
patching file lib/dvb_ci/dvbci_appmgr.cpp
patching file lib/dvb_ci/dvbci.cpp
patching file lib/dvb_ci/dvbci.h
patching file lib/dvb_ci/dvbci_session.cpp
patching file lib/gdi/accel.cpp
patching file lib/gdi/accel.h
patching file lib/gdi/epng.cpp
patching file lib/gdi/fb.cpp
patching file lib/gdi/fb.h
patching file lib/gdi/font.cpp
patching file lib/gdi/gfbdc.cpp
patching file lib/gdi/glcddc.cpp
patching file lib/gdi/glcddc.h
patching file lib/gdi/gpixmap.cpp
patching file lib/gdi/lcd.cpp
patching file lib/gdi/lcd.h
patching file lib/gdi/Makefile.inc
patching file lib/gdi/picload.cpp
patching file lib/gdi/stmfb.cpp
patching file lib/gui/ewidget.cpp
patching file lib/python/Components/Converter/RemainingToText.py
patching file lib/python/Components/Harddisk.py
patching file lib/python/Components/Network.py
patching file lib/python/Components/NimManager.py
patching file lib/python/Components/SystemInfo.py
patching file lib/python/Components/Renderer/Makefile.am
patching file lib/python/Components/Renderer/Progress.py
patching file lib/python/Components/Renderer/SingleEpgList.py
patching file lib/python/Components/UsageConfig.py
patching file lib/python/Components/VolumeControl.py
patching file lib/python/enigma_python.i
patching file lib/python/Makefile.inc
patching file lib/python/Plugins/Extensions/CuberevoVFD/__init__.py
patching file lib/python/Plugins/Extensions/CuberevoVFD/Makefile.am
patching file lib/python/Plugins/Extensions/CuberevoVFD/plugin.py
patching file lib/python/Plugins/Extensions/Makefile.am
patching file lib/python/Plugins/Extensions/TopfieldVFD/__init__.py
patching file lib/python/Plugins/Extensions/TopfieldVFD/Makefile.am
patching file lib/python/Plugins/Extensions/TopfieldVFD/plugin.py
patching file lib/python/Plugins/SystemPlugins/HdmiCEC/plugin.py
patching file lib/python/Plugins/SystemPlugins/Hotplug/plugin.py
patching file lib/python/Plugins/SystemPlugins/Makefile.am
patching file lib/python/Plugins/SystemPlugins/VFD-Icons/__init__.py
patching file lib/python/Plugins/SystemPlugins/VFD-Icons/LICENSE
patching file lib/python/Plugins/SystemPlugins/VFD-Icons/Makefile.am
patching file lib/python/Plugins/SystemPlugins/VFD-Icons/meta/Makefile.am
patching file lib/python/Plugins/SystemPlugins/VFD-Icons/meta/plugin_vfd-icons.xml
patching file lib/python/Plugins/SystemPlugins/VFD-Icons/plugin.py
patching file lib/python/Plugins/SystemPlugins/Videomode/plugin.py
patching file lib/python/Plugins/SystemPlugins/Videomode/VideoHardware.py
patching file lib/python/Components/AVSwitch.py
patching file lib/python/Plugins/SystemPlugins/Videomode/VideoWizard.py
patching file lib/python/Plugins/SystemPlugins/Videomode/videowizard.xml
patching file lib/python/Plugins/SystemPlugins/OSDPositionSetup/overscanwizard.py
patching file lib/python/Screens/ChannelSelection.py
patching file lib/python/Screens/InfoBarGenerics.py
patching file lib/python/Screens/InfoBar.py
patching file lib/python/Screens/InstallWizard.py
patching file lib/python/Screens/ScanSetup.py
patching file lib/python/Screens/Standby.py
patching file lib/python/Screens/Wizard.py
patching file lib/python/Tools/Command.py
patching file lib/python/Tools/HardwareInfo.py
patching file lib/python/Tools/Makefile.am
patching file lib/python/Tools/Profile.py
patching file lib/service/listboxservice.cpp
patching file lib/service/servicedvb.cpp
patching file lib/service/servicedvbrecord.cpp
patching file m4/ax_python_devel.m4
patching file main/bsod.cpp
patching file main/enigma.cpp
patching file main/Makefile.am
patching file tools/enigma2.sh.in
patching file lib/python/Components/About.py
patching file lib/python/Screens/About.py
patching file lib/python/Tools/KeyBindings.py
patching file lib/python/Screens/InputDeviceSetup.py
patching file data/rc_models/rc_models.cfg
patching file data/rc_models/Makefile.am
Patching to diff-2 completed.
Building VFD-drivers... done.


Patching remote control files... done.
Build preparation for OpenPLi complete.


libtoolize: putting auxiliary files in '.'.
libtoolize: copying file './ltmain.sh'
libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'.
libtoolize: copying file 'm4/libtool.m4'
libtoolize: copying file 'm4/ltoptions.m4'
libtoolize: copying file 'm4/ltsugar.m4'
libtoolize: copying file 'm4/ltversion.m4'
libtoolize: copying file 'm4/lt~obsolete.m4'
configure.ac:9: installing './compile'
configure.ac:14: installing './config.guess'
configure.ac:14: installing './config.sub'
configure.ac:2: installing './install-sh'
configure.ac:2: installing './missing'
Makefile.am: installing './INSTALL'
Makefile.am: installing './COPYING' using GNU General Public License v3 file
Makefile.am: Consider adding the COPYING file to the version control system
Makefile.am: for your code, to avoid questions about which license your project uses
Makefile.am:6: installing './py-compile'
lib/Makefile.am: installing './depcomp'
configure: WARNING: using cross tools not prefixed with host triplet
configure: error: Package requirements (freetype2 fribidi glib-2.0 libdvbsi++ libpng libxml-2.0 sigc++-2.0 libssl libcrypto) were not met:


No package 'sigc++-2.0' found


Consider adjusting the PKG_CONFIG_PATH environment variable if you
installed software in a non-standard prefix.


Alternatively, you may set the environment variables BASE_CFLAGS
and BASE_LIBS to avoid the need to call pkg-config.
See the pkg-config man page for more details.
make/enigma2.mk:192: recipe for target '/home/amir/buildsystem/build_source/enigma2/config.status' failed
make: *** [/home/amir/buildsystem/build_source/enigma2/config.status] Error 1

sadaghiani
04.12.2019, 18:02
-- Result -------------------------------------------------------------


Output file(s) created in /home/amir/buildsystem/flash/out:


total 116M
-rw-r--r-- 1 58M Dec 4 08:44 hs7429_enigma2_gst-epl3_flash_P217_CDK-rev1331.zip
-rw-r--r-- 1 58M Dec 4 08:44 hs7429_enigma2_gst-epl3_flash_R270130A0.ird
-rw-r--r-- 1 33 Dec 4 08:44 hs7429_enigma2_gst-epl3_flash_R270130A0.ird.md5


-- Finished -----------------------------------------------------------

- - - Aktualisiert - - -



++++++++++++++++++++++++++++++++++++++++++++++++++ +++++++++++++++++++++
+
+ Summary
+ =======
+
+ Receiver : hs7429
+ Linux version : linux-sh4-2.6.32-71
+ Kernel patch level : P0217
+ Image : Tvheadend
+ Will run in/on : flash
+
++++++++++++++++++++++++++++++++++++++++++++++++++ +++++++++++++++++++++


-- Prepare root -------------------------------------------------------


Prepare Tvheadend root for hs7429.




Root preparation completed.




-- ERROR! -------------------------------------------------------------


!!! ERROR: DEVS ARE MISSING !!!
APPARENTLY MAKEDEV IN prepare_root.sh FAILED.


Exiting...
-----------------------------------------------------------------------

sadaghiani
06.12.2019, 12:40
all of neutrino image (tangos - hd - mp)
They work well
But tangos seem to be the best
-----------------------------------------------------------
lua plugin same neutrino and ard meditek didnt work

pablocool
10.12.2019, 09:02
Hi

I met Zapit Initialization Failed during tangos neutrino starting:

15675
15676

It frozes in such state.
Do you know how to overcome?

thomas
10.12.2019, 13:49
du hast falsche pti.ko im image


must die austauschen

kader_73
12.12.2019, 20:21
hello Audioniek ;

thanks for the greet work you're doing ,

After a fresh build "Enigma2_flash" for my hs7819 , error when loading ; here is the putty log :

.
.
.
. 9BUTTON - 19 - 10
--------------------------------------
[evremote2] Frontpanel Map:
Keyname Keyword KeyCode
--------------------------------------
STANDBY - 00 - 116
OK - 06 - 352
MENU - 05 - 139
VOLUMEUP - 03 - 115
VOLUMEDOWN - 04 - 114
CHANNELUP - 01 - 10
CHANNELDOWN - 02 - 109
--------------------------------------
[evremote2] Supports Long KeyPress: yes
[evremote2 fortis] Period = 10, delay = 140
[evremote2] Error in device initialization
[showiframe] showSinglePic /boot/bootlogo.mvi
[showiframe] ERROR: VIDEO_SET_FORMAT failed (Bad file descriptor)
Deconfiguring network interfaces... ifdown: interface lo not configured
ifdown: interface eth0 not configured
ifdown: interface eth1 not configured
ifdown: interface wlan0 not configured
done.
[rcS] Starting DHCP
Starting DHCP client: udhcpcudhcpc: started, v1.30.1
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
failed...
udhcpc: no lease, failing
already running.
Setting up IP spoofing protection: rp_filter.
Configuring network interfaces... udhcpc: started, v1.30.1
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
failed...
udhcpc: no lease, failing
ip: SIOCGIFFLAGS: No such device
ip: SIOCGIFFLAGS: No such device
done.
[rcS] Init WLAN: None.
[rcS] Do extras (LOAD 1)
[rcS] No inadyn
[rcS] No OpenSSH
[rcS] Set internet time
ntpd: bad address '0.europe.pool.ntp.org'
ntpd: bad address '0.europe.pool.ntp.org'
Alarm clock
Current system time: 01:01:01 01-01-2000 (local)
Front panel time set to: 01:01:01 01-01-2000 (local)
Note: /proc/stb/fp/rtc_offset set to: +3600 seconds.
[rcS] Init portmap & ftp
Starting portmap daemon....
Starting FTP server: vsftpd.
[rcS] Loading E2
[rcS] Entering e2 main loop
PYTHONPATH: /usr/lib/enigma2/python
DVB_API_VERSION 5 DVB_API_VERSION_MINOR 3
ENIGMA_DEBUG_LVL=4
[Avahi] Not running yet, cannot browse for type _e2stream._tcp.
[eInit] + (1) Background File Eraser
[eInit] + (5) Tuxtxt
[eInit] + (8) graphics acceleration manager
/dev/fb0: No such file or directory
[eInit] + (9) GFBDC
[fb] /dev/fb0 No such file or directory
[fb] framebuffer /dev/fb0 not available
[gFBDC] no framebuffer available
Segmentation fault
[rcS] e2 ended <- return value: 139
[rcS] ERROR
INIT: Switchin[rcS] Entering e2 main loop
INIT: Sending processes the TERM sSending all processes the TERM signal...done.
Sending all processes the KILL signal...done.
Deactivating swap...umount: tmpfs busy - remounted read-only
done.


I guess the error is on the red section , how to fix it .

b.Regards

pablocool
13.12.2019, 07:37
Hi Regarding Zapit initialization error, it is caused by modules not being loaded:


insmod: can't insert '/lib/modules/avs.ko': Input/output error
insmod: can't insert '/lib/modules/pti.ko': unknown symbol in module, or unknown parameterpti loaded
[rcS] Simple pti
stmdvb: Unknown symbol avs_command_kernel
insmod: can't insert '/lib/modules/stmdvb.ko': unknown symbol in module, or unknown parameter
[rcS] Init player2 (LOAD 3)
player2: Unknown symbol register_dvb_backend
player2: Unknown symbol ManifestorLastDisplayedBuffer
player2: Unknown symbol g_ManifestorLastWaitQueue
insmod: can't insert '/lib/modules/player2.ko': unknown symbol in module, or unknown parameter
sth264pp: Unknown symbol OSDEV_DeviceList
sth264pp: Unknown symbol OSDEV_DeviceDescriptors
insmod: can't insert '/lib/modules/sth264pp.ko': unknown symbol in module, or unknown parameter
stmalloc: Unknown symbol OSDEV_DeviceList
stmalloc: Unknown symbol OSDEV_DeviceDescriptors
insmod: can't insert '/lib/modules/stmalloc.ko': unknown symbol in module, or unknown parameter

pablocool
17.12.2019, 13:32
avs.ko file was corrupted. Recompilation fixed error!

Audioniek
17.12.2019, 21:01
@kader_73:

I know about there erroor, it isalso in my downloadable image (as far as I know only for this model). For some reason,/dev/fb0 is missing but I have not found the reason why. The very similar HS7429 builds and works OK. I still have to find out if the preparation of the devs goes wrong, or there is an error in one of the modules, although they all load OK. Looking into it...

Regards,

Audioniek.

ainouna
21.12.2019, 17:22
hello Audioniek ;
I need help
please
a solution for this problem
Start build of host_pkgconfig 0.29.2.
configure: error: Either a previously installed pkg-config or "glib-2.0 >= 2.16" could not be found. Please set GLIB_CFLAGS and GLIB_LIBS to the correct values or pass --with-internal-glib to configure to use the bundled copy.
make: *** [make/bootstrap.mk:33: /home/bakara/buildsystem/.deps/host_pkgconfig] Error 1

ainouna
26.12.2019, 16:31
Hello audioniek
finally
I was able to compile
the three neutrinos
neutrino mp
neutrino tango
neutrinoHD2
thank you mister audioniek for your precious help:)
in the end it is useless
lack emulator
and compile only for internal flash

Regards,
ainouna

ainouna
28.12.2019, 10:54
Hi everyone
I compiled
-enigma2 on internal flash
enigma2 on usb
but there is a problem
on the flash image there is no broadcast on the encrypted channels
only on the clear
maybe i forgot something
====================
Regards,
ainouna

ainouna
06.01.2020, 16:57
hi audioniek
now it's OK for encrypted channels
OK-for enigma2 flash
OK-for enigma2 USB----don't start stay on boot
OK - for DDT neutrino
OK-for Tango neutrino
for neutrinoHD2 missing teamcsemu
thank you audioniek
thank you a thousand times even if you don't answer

ainouna
12.01.2020, 16:07
Hi Audioniek
flash compilation problem
compile .ird without stopping
Regards
ainouna

ainouna
19.01.2020, 17:43
Hi Audioniek
flash compilation error =0x0013
0X13 BlockCrc CRC error in IRD file IRD file corrupt

thank you
for what you do

Regards
ainouna

ainouna
22.01.2020, 10:48
hello Audioniek
always
flash IRD error 0X13 installation
problem in flash_tools
thanks for what you do:)


Regards
ainouna

ainouna
31.01.2020, 14:31
hi Audioniek
compilation error
OpenPLi Enigma 2 software based on Open-Embedded

Initialising tasks: 100% |#######################################| Time: 0:00:37
NOTE: Executing SetScene Tasks
NOTE: Executing RunQueue Tasks
ERROR: bison-native-3.0.4-r0 do_patch: Command Error: 'quilt --quiltrc /home/bakara/Desktop/Y/build/tmp/work/x86_64-linux/bison-native/3.0.4-r0/recipe-sysroot-native/etc/quiltrc push' exited with 0 Output:
Patch gnulib.patch is already applied; check your series file
ERROR: bison-native-3.0.4-r0 do_patch: Function failed: patch_do_patch
ERROR: Logfile of failure stored in: /home/bakara/Desktop/Y/build/tmp/work/x86_64-linux/bison-native/3.0.4-r0/temp/log.do_patch.21203
ERROR: Task (virtual:native:/home/bakara/Desktop/Y/openembedded-core/meta/recipes-devtools/bison/bison_3.0.4.bb:do_patch) failed with exit code '1'


a little help from you
mister audioniek

Regards
ainouna

ainouna
01.02.2020, 11:37
hi Audioniek
I deactivate sanity
same problem

bakara@bakara-desktop:~/Desktop/Y$ MACHINE=hs7429 make image
Building image for hs7429
Parsing recipes: 100% |##########################################| Time: 0:02:05
Parsing of 2577 .bb files complete (0 cached, 2577 parsed). 3399 targets, 439 skipped, 0 masked, 0 errors.
NOTE: Resolving any missing task queue dependencies

Build Configuration:
BB_VERSION = "1.34.0"
BUILD_SYS = "x86_64-linux"
NATIVELSBSTRING = "ubuntu-18.04"
TARGET_SYS = "sh4-oe-linux"
MACHINE = "hs7429"
DISTRO = "openpli"
DISTRO_VERSION = "Audioniek"
TUNE_FEATURES = "sh4"
meta-oe
meta-filesystems
meta-multimedia
meta-networking
meta-python
meta-webserver = "HEAD:dfbdd28d206a74bf264c2f7ee0f7b3e5af587796"
meta = "HEAD:93dd2f9f3edf0584f9e806c629611d645dd72dbf"
meta-openpli
meta-dream = "develop:c14c421043f8b1d5c85ed66ae9b802bd29413d0a"
meta-atemio = "master:0c12ca242ebfe37575b2f4c9f52e219c61db6cd8"
meta-cuberevo = "master:34a2d349363d3f19540452d1bd0b3f780fb08b7a"
meta-fortis = "master:ee53c8ef4e99eea80aa78c5864e0db92a4e585a2"
meta-fulan = "master:a86ad9ce912b0e7e40365d5bc76a53ab7aad737d"
meta-ipbox = "master:b9141c226a93b91c499d71ec03b57dd3286971de"
meta-kathrein = "master:9ce63ce2a2c1b467f28207ffe8d98c45704745df"
meta-sh4 = "master:235e2fa22325724130a0e00b41c34a72dbfa0173"
meta-sh4-misc = "master:8d297254e415dca524d360b0ec7bc1083537087e"
meta-local = "develop:c14c421043f8b1d5c85ed66ae9b802bd29413d0a"

Initialising tasks: 100% |#######################################| Time: 0:00:16
NOTE: Executing SetScene Tasks
NOTE: Executing RunQueue Tasks
ERROR: bison-native-3.0.4-r0 do_patch: Command Error: 'quilt --quiltrc /home/bakara/Desktop/Y/build/tmp/work/x86_64-linux/bison-native/3.0.4-r0/recipe-sysroot-native/etc/quiltrc push' exited with 0 Output:
Patch gnulib.patch is already applied; check your series file
ERROR: bison-native-3.0.4-r0 do_patch: Function failed: patch_do_patch
ERROR: Logfile of failure stored in: /home/bakara/Desktop/Y/build/tmp/work/x86_64-linux/bison-native/3.0.4-r0/temp/log.do_patch.27252
ERROR: Task (virtual:native:/home/bakara/Desktop/Y/openembedded-core/meta/recipes-devtools/bison/bison_3.0.4.bb:do_patch) failed with exit code '1'
NOTE: Tasks Summary: Attempted 319 tasks of which 314 didn't need to be rerun and 1 failed.

Summary: 1 task failed:
virtual:native:/home/bakara/Desktop/Y/openembedded-core/meta/recipes-devtools/bison/bison_3.0.4.bb:do_patch
Summary: There were 2 ERROR messages shown, returning a non-zero exit code.
Makefile:116: recipe for target 'image' failed
make: *** [image] Error 1
bakara@bakara-desktop:~/Desktop/Y$



a little help mister audioniek
it feels good


Regards
ainouna

Audioniek
01.02.2020, 21:46
@ainouna

Thank you for your patience. At the moment I am extremely busy with another project, so some remarks.

The bug in fup 1.9.6. leading to an err. 0x13 is known and I will fix it as soon I will come to it, which will be soon as it is a vital utility we cannot miss. In the mean time, checkout an older version from my git (preferably 1.8.3) and compile that one for the time being. As you have seen, a lot has been changed, and this problem has crept in. I hope you like the new features and improvements though.

As far as openembedded goes, I made that some time ago for two reasons. The first was I wanted to know how openembedded worked in practice and found out the hard way. I hate it with a passion as it gives me headaches maintaining it and builds are extremely slow (first build for a box takes several hours compared to less that 20 minutes on the buildsystem designed by Max). This caused by the fact it attempts to execute as many tasks as there are cores, but each task is still single thread. My build system executes one task a the time, but uses all cores available simultaniously for that task, which in practice is faster.
The second reason was I also made it for HDMU, as they stopped building for SH4 because they did not want to have two different build platforms for SH4 (buildsystem) and the rest (openembedded).

In practice I have stopped maintaining my openembedded SH4-only environment. If you want to have a go at SH4 on openembedded, give OpenvisionE2 a try. That environment is maintained actively although I have only succeeded in building one working image from it in two years time.

Regards,

Audioniek.

ainouna
02.02.2020, 12:11
@Audioniek
thank you mister audioniek
and thanks again for the work you do

Regards
ainouna

samkooke
02.02.2020, 13:03
hello Audioniek I never managed to get it in USB or IRD openvisionE2.

Audioniek
03.02.2020, 14:25
Hello samkooke,

Your observation is probably correct, although I cannot speak from personal experience. Not long ago, all openembedded based environments only produced a tar,gz file with SH4 images. Persian Prince of OpenvisionE2 has taken up the ardious task of adding/integrating both USB and flash components for SH4, largely based only my flash git. The status I have this is a work in progress at the moment, not helped by the fact that the last versions of fup (used to produce .ird files) all have problems for which I am largely responsible, and hope to fix this week.

Regards,

Audioniek.

sadaghiani
18.03.2020, 19:21
Start build of lua 5.3.5.
mkdir -p /home/amir/buildsystem/tufsbox/cdkroot/usr/share/lua/5.3
Applying Patch: lua-5.3.5-luaposix-31.patch
patch: **** Can't open patch file /home/amir/buildsystem/patches/lua-5.3.5-luaposix-31.patch : No such file or directory
make/contrib-libs.mk:438: recipe for target '/home/amir/buildsystem/.deps/lua' failed
make: *** [/home/amir/buildsystem/.deps/lua] Error 2

sadaghiani
22.03.2020, 21:00
Start build of tools-aio-grab.
/bin/bash: line 0: cd: /home/amir/buildsystem/tools/aio-grab: No such file or directory
make/tools.mk:49: recipe for target '/home/amir/buildsystem/.deps/tools-aio-grab' failed
make: *** [/home/amir/buildsystem/.deps/tools-aio-grab] Error 1

Audioniek
24.03.2020, 18:58
@sadaghiani

Both reported problems have meanwhile been fixed.


Regards,

Audioniek.

ainouna
28.03.2020, 14:02
hi Audioniek
there is a problem with ffmpeg 3.4.3
iptv black screen
with ffmpeg 2.8.15 it works

thank you mister Audioniek for remedying this problem

Regards
ainouna

samkooke
28.03.2020, 17:33
hello Audioniek 4 times I compile is always the same error here the log thanks.

Build Enigma2 output file(s) for hs7119 running in/on flash.


No resellerID specified, using default 270200A0
(equals Octagon SF918G SE+ HD Difference).


Note: other resellerID may be specified as arg1
on the command line:
./flash.sh [resellerID]


Optional resellerID must either be 4 or 8 hex characters.


./flash.sh: ligne 550: ./fup: Aucun fichier ou dossier de ce type
-- Output selection ---------------------------------------------------


What would you like to flash?
1) The enigma2 image plus kernel (*)
2) Only the kernel
3) Only the enigma2 image
Select flash target (1-3)? 1
-----------------------------------------------------------------------


- Preparing kernel file... done.
- Checking kernel size... OK: 2046907 (0x001F3BBB, max. 0x00300000) bytes.
- Preparing UBIFS root file system... done.
- Creating ubinize ini file... done.
- Creating UBI root image... done.
- Checking root size... OK: 48234496 (0x02E00000, max. 0x05A900000) bytes.
- Creating .IRD flash file and MD5.../media/samkooke/e5bd85da-8d3c-4ba2-8d1b-ab85f554dd22/home/samkooke/Bureau/x/buildsystem/flash/scripts/flash/fortis_3G_flash.sh: ligne 139: /media/samkooke/e5bd85da-8d3c-4ba2-8d1b-ab85f554dd22/home/samkooke/Bureau/x/buildsystem/flash/flash_tools/fup: Aucun fichier ou dossier de ce type
/media/samkooke/e5bd85da-8d3c-4ba2-8d1b-ab85f554dd22/home/samkooke/Bureau/x/buildsystem/flash/scripts/flash/fortis_3G_flash.sh: ligne 144: /media/samkooke/e5bd85da-8d3c-4ba2-8d1b-ab85f554dd22/home/samkooke/Bureau/x/buildsystem/flash/flash_tools/fup: Aucun fichier ou dossier de ce type
md5sum: /media/samkooke/e5bd85da-8d3c-4ba2-8d1b-ab85f554dd22/home/samkooke/Bureau/x/buildsystem/flash/out/hs7119_enigma2_gst-epl3_flash_R270200A0.ird: Aucun fichier ou dossier de ce type
done.
- Creating .ZIP output file... done.


-- Result -------------------------------------------------------------


Output file(s) created in /media/samkooke/e5bd85da-8d3c-4ba2-8d1b-ab85f554dd22/home/samkooke/Bureau/x/buildsystem/flash/out:


total 4,0K
-rw-r--r-- 1 244 mars 28 17:30 hs7119_enigma2_gst-epl3_flash_P217_CDK-rev1364.zip
-rw-r--r-- 1 0 mars 28 17:30 hs7119_enigma2_gst-epl3_flash_R270200A0.ird.md5


-- Finished -----------------------------------------------------------
samkooke@samkooke-HP-Compaq-8200-Elite-USDT-PC:/media/samkooke/e5bd85da-8d3c-4ba2-8d1b-ab85f554dd22/home/samkooke/Bureau/x/buildsystem/flash$

pop1234
30.03.2020, 12:28
@samkooke

in directory flash try modified all files are inside
$TOOLSDIR to
$TOOLS_DIR

wait for an adjustment Audioniek (http://www.hdmedia-universe.com/board/member.php?1349-Audioniek)

Audioniek
30.03.2020, 15:46
@samkooke & @pop1234,

The reason for the missing fup is not the difference between $TOOLS_DIR and $TOOLSDIR but is caused probably by the fact the ./flash.sh is run as root, not as fakeroot. This changes the home directory from /home/$USER to /root and the source of the flash tools cannot be found.

Some distributions do not run ./flash.sh well as fakeroot (Fedora 26 for example) and indeed need root. The problem can be circumvented by running ./flash.sh once without superuser rights; this will compile fup (and the other missing tools). Another way is to compile fup by hand; there is a script for that in X/flash/flash_tools/fup.src.

Changing $TOOLSDIR to $TOOLS_DIR does not make a difference, as the flash environment uses its own set of environment variables because it stands on its own. The ddt-buildsystem has the flash process integrated in the buildsystem and there $TOOLS_DIR would be correct.

I think changing $TOOLSDIR to $TOOLS_DIR would only bring confusion, as $TOOLSDIR in the flash environment and $TOOLS_DIR in the buildsystem have nothing to do with each other and point to different directories: $TOOLSDIR=X/flash/flash_tools and $TOOLS_DIR=X/tools.

Thank you pop1234 for your effort; with the next push of the flash git I will change $TOOLSDIR to $FLASHTOOLSDIR to make things more clear.

Regards,

Audioniek.

samkooke
30.03.2020, 16:47
hello Audioniek and how I thank you.

samkooke
30.03.2020, 18:13
how to modify and what to modify in X / flash / flash_tools / fup.src.

ainouna
11.04.2020, 14:08
hello Audioniek
I hope you are doing well
today 04-11-2020
compilation enigma2 = OK
libeplayer = active
iptv = active
compilation neutrini-ddt = OK
libeplayer = inactive
iptv = black screen

Regards

ainouna

samkooke
11.04.2020, 16:46
hello I just compiled always the same problem.

- Preparing kernel file... done.
- Checking kernel size... OK: 2044702 (0x001F331E, max. 0x00300000) bytes.
- Preparing UBIFS root file system... done.
- Creating ubinize ini file... done.
- Creating UBI root image... done.
- Checking root size... OK: 44433408 (0x02A60000, max. 0x05A900000) bytes.
- Creating .IRD flash file and MD5.../home/samkooke/Bureau/XXXXX/buildsystem/flash/scripts/flash/fortis_3G_flash.sh: ligne 139: /home/samkooke/Bureau/XXXXX/buildsystem/flash/flash_tools/fup: Aucun fichier ou dossier de ce type
/home/samkooke/Bureau/XXXXX/buildsystem/flash/scripts/flash/fortis_3G_flash.sh: ligne 144: /home/samkooke/Bureau/XXXXX/buildsystem/flash/flash_tools/fup: Aucun fichier ou dossier de ce type
md5sum: /home/samkooke/Bureau/XXXXX/buildsystem/flash/out/hs7119_enigma2_gst-epl3_flash_R270200A0.ird: Aucun fichier ou dossier de ce type
done.
- Creating .ZIP output file... done.

puzola
12.04.2020, 18:27
Hello all !

Problem "major" "minor" undefined referenc !!!!! ...

In function 'strncpy',
inlined from 'write_superblock' at mkcramfs.c:399:3,
inlined from 'main' at mkcramfs.c:820:2:
/usr/include/x86_64-linux-gnu/bits/string_fortified.h:106:10: warning: '__builtin_strncpy' specified bound 16 equals destination size [-Wstringop-truncation]
106 | return __builtin___strncpy_chk (__dest, __src, __len, __bos (__dest));
| ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ~~~~~~~~~~~~
/usr/bin/ld: /tmp/ccK3GdAf.o: in function `print_node':
/home/system/build_tmp/cramfs-1.1/mkcramfs.c:445: undefined reference to `minor'
/usr/bin/ld: /home/system/build_tmp/cramfs-1.1/mkcramfs.c:445: undefined reference to `major'
collect2: error: ld returned 1 exit status


Problem "libc6" ??????

Please help me Audioniek or .....

puzola
12.04.2020, 20:57
Problem solved !!!!
ubuntu 14.04 OK !!! "old glibc 2.19" not problem "minor" "major"

and Help me ??

How to modified specified kernel defconfig ????

Audioniek
13.04.2020, 13:43
Meanwhile I also had a look at this puzzle. It originates from the fact that the major and minor functions were/are going to be moved to another header file. Some distributions have done this, others have not (yet). I my freshly installed Ubuntu 18.04.03 compilation of mkcramf succeeds with a warning that major and minor are going to be moved.

At the moment I see not easy fix, because you have to include an extra header file (that does not exist on systems that have not moved the functions yet) at compile time, depending on what host you are on. In my view the author(s) of mkcramf also have to clean up the code, as two relatively small executables generate a plethora of warnings while compiling, although I have meanwhile added a patch the remove most (or on Ubuntu 16.04 and Mint 18.3 all) warnings,

The kernel configs used for the various receivers are located in the patches directory, which in turn have three subdirectories for enigma2, neutrino and tvheaded, so the config can be different depending on what you build. Put the changes you want/need in the config(s) you find there.

Regards,

Audioniek.

puzola
13.04.2020, 20:07
Ok !!!
Thank you Audioniek !!!!

This is super build system !!!!
Grat.

ainouna
15.04.2020, 11:27
hi Audioniek
I apologize
the problem is not libeplayer3
I replace ffmpeg -3.4.3
by ffmpeg 2.8.15
iptv = it works on enigma2 and neutrino
with ffmpeg 4.2.2 it works very well

Regards
ainouna

samkooke
15.04.2020, 13:01
hello ainouna there is no ffmpeg with the image Audioniek or openvision made this order by telnet: ffmpeg --version

samkooke
15.04.2020, 16:03
Excuse me if there's a version ffmpeg 3.4.3.

ainouna
16.04.2020, 11:12
hi samkooke
Yes
the ffmpeg 3.4.3
do not decode iptv
I changed ffmpeg 3.4.3 to ffmpeg 4.2.2
now it's ok
on enigma2 and neutrino

Regards

ainouna

samkooke
16.04.2020, 12:36
hello ainouna how did you manage to replace ffmpeg 3.4.3 by ffmpeg 4.2.2 I unfortunately can't compile problem of fup for hs7119 thanks.

ainouna
16.04.2020, 18:04
hi samkooke
tomorrow i will compile for 7119
to see the problem

Regards
ainouna

samkooke
16.04.2020, 18:33
ok ainouna thanks.

sadaghiani
16.04.2020, 19:22
Start build of dummy2.
Cloning git...fatal: repository '/home/amir/Archive/dummy2.git' does not exist
done.
cp: cannot stat '/home/amir/Archive/dummy2.git': No such file or directory
make/neutrino.mk:168: recipe for target '/home/amir/buildsystem/.deps/dummy2.do_prepare' failed
make: *** [/home/amir/buildsystem/.deps/dummy2.do_prepare] Error 1

pop1234
17.04.2020, 11:22
Start build of dummy2.
Cloning git...fatal: repository '/home/amir/Archive/dummy2.git' does not exist
done.
cp: cannot stat '/home/amir/Archive/dummy2.git': No such file or directory
make/neutrino.mk:168: recipe for target '/home/amir/buildsystem/.deps/dummy2.do_prepare' failed
make: *** [/home/amir/buildsystem/.deps/dummy2.do_prepare] Error 1

all neutrino have this error
i think have update patch libstb-hal & neutrino.mk

ainouna
17.04.2020, 11:49
hi samkooke
I compile for 7119
no problem
https://www.mediafire.com/file/tko8onlrrlalo93/hs7119_enigma2_gst-epl3_flash_P217_CDK-rev1371.zip/file

Regards
ainouna (https://www.mediafire.com/file/tko8onlrrlalo93/hs7119_enigma2_gst-epl3_flash_P217_CDK-rev1371.zip/file)

samkooke
17.04.2020, 11:55
hello ainouna thank you very much I test and I say what.

samkooke
17.04.2020, 13:52
hello ainouna it works like a charm with ffmpeg 4.2.2 and python 2.7.17 thanks.

Audioniek
18.04.2020, 15:28
@pop1234 & sadaghiani

It looks like you are trying to add another neutrino flavour. If you do that in make.sh, you also have to update neutrino.mk and probably neutrino_release.mk as well. In neutrino.mk, look at lines 109 - 136. The key search item is FLAVOUR in uppercase.

Regards,

Audioniek.

sadaghiani
20.04.2020, 18:10
also thnx @pop1234 for improve neutrino.mk

sadaghiani
22.04.2020, 19:43
Start build of dummy2.
Cloning git...fatal: repository '/home/amir/Archive/dummy2.git' does not exist
done.
cp: cannot stat '/home/amir/Archive/dummy2.git': No such file or directory
make/neutrino.mk:168: recipe for target '/home/amir/buildsystem/.deps/dummy2.do_prepare' failed
make: *** [/home/amir/buildsystem/.deps/dummy2.do_prepare] Error 1

------------------------------------------------------------------------------------------------------------------
neutrino hd2

- - - Aktualisiert - - -

The system crashes in these cases:
- In some hd channels
- When we have a poor signal
This problem does not exist in the company's software
---------------------------------------------------------- ------------------------
The image is frozen and sometimes jumps on some hd channels such as:
- EUTELSAT W3A 7.0E Manoto HD +1 11387h27500
- Manoto HD +2 11324v29700
- PMC HD 11324v 29700
---------------------------------------------------------- ------------------------
Is it possible that this problem is due to drivers?

Audioniek
23.04.2020, 14:36
Start build of dummy2.
Cloning git...fatal: repository '/home/amir/Archive/dummy2.git' does not exist
done.
cp: cannot stat '/home/amir/Archive/dummy2.git': No such file or directory
make/neutrino.mk:168: recipe for target '/home/amir/buildsystem/.deps/dummy2.do_prepare' failed
make: *** [/home/amir/buildsystem/.deps/dummy2.do_prepare] Error 1

Apparently you have edited make.sh or neutrino.mk or both. This problem occurs when the value of $FLAVOUR (also see the file config in '/home/amir/buildsystem) does not match any of the values tested for in neutrino.mk (see lines 109 - 136).

Regarding neutrino hd2: the drivers are all the same across the various neutrino variants and even enigma2. As these are well proven over time I doubt that this is the problem. It is more likely it has something to do with the neutrino hd2 internals. Try fixing the problem mentioned in the previous paragraghs, build a neutrino other that hd2 and test.

Regards,

Audioniek.

sadaghiani
23.04.2020, 15:31
Apparently you have edited make.sh or neutrino.mk or both. This problem occurs when the value of $FLAVOUR (also see the file config in '/home/amir/buildsystem) does not match any of the values tested for in neutrino.mk (see lines 109 - 136).

Regarding neutrino hd2: the drivers are all the same across the various neutrino variants and even enigma2. As these are well proven over time I doubt that this is the problem. It is more likely it has something to do with the neutrino hd2 internals. Try fixing the problem mentioned in the previous paragraghs, build a neutrino other that hd2 and test.


Regards,

Audioniek.
These problems that I mentioned to you are happening for all versions of sh4, and these problems have been going on for years.
ipbox 900
fortis hs7429
-------------------------------------------------
The error I introduced to you was for compiling neutrino hd2
The other two versions do not have this problem
-------------------------------------------------
I'm sorry I didn't say that separately

Audioniek
23.04.2020, 21:27
I have had a test and neutrino-hd2 indeed yields the dummy2.git problem. After testing the changes I will push a solution in the next few hours.

Regards,

Audioniek.

sadaghiani
24.04.2020, 12:16
I have had a test and neutrino-hd2 indeed yields the dummy2.git problem. After testing the changes I will push a solution in the next few hours.

Regards,

Audioniek.
--------------------------------------------------------------
Build of yaud-none completed.


make: *** No rule to make target '/home/amir/buildsystem/.deps/neutrino-hd2-plugins', needed by 'yaud-neutrino-plugins'. Stop.

sadaghiani
28.04.2020, 22:12
--------------------------------------------------------------
Build of yaud-none completed.


make: *** No rule to make target '/home/amir/buildsystem/.deps/neutrino-hd2-plugins', needed by 'yaud-neutrino-plugins'. Stop.
thanx
---------------------------------------
system setting==>front panel : vfd/led setting==>scrolling
ddt = active with repeat counting
but in tangos =Inactive
---------------------------------------
no file :
json.lua
init.lua
json.so
loadall.so

sadaghiani
30.04.2020, 20:03
Is it possible to use bpanther extras setting?

pop1234
03.05.2020, 14:28
@Audioniek
i have an opinion or note
you can put it neutrino ,E2,Tvheadend in folder instead cdkroot & release


cdkroot/neutrino
cdkroot/enigma2
cdkroot/tvheadend

release/neutrino
release/enigma2
release/tvheadend

because when building any image, it deletes all the content

- - - Updated - - -

with patch 2 26/03/2020

Patching remote control files... done.
Build preparation for OpenPLi complete.

libtoolize: putting auxiliary files in '.'.
libtoolize: copying file './ltmain.sh'
libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'.
libtoolize: copying file 'm4/libtool.m4'
libtoolize: copying file 'm4/ltoptions.m4'
libtoolize: copying file 'm4/ltsugar.m4'
libtoolize: copying file 'm4/ltversion.m4'
libtoolize: copying file 'm4/lt~obsolete.m4'
configure.ac:9: installing './compile'
configure.ac:14: installing './config.guess'
configure.ac:14: installing './config.sub'
configure.ac:2: installing './install-sh'
configure.ac:2: installing './missing'
Makefile.am: installing './INSTALL'
Makefile.am: installing './COPYING' using GNU General Public License v3 file
Makefile.am: Consider adding the COPYING file to the version control system
Makefile.am: for your code, to avoid questions about which license your project uses
Makefile.am:6: installing './py-compile'
data/skin_default/Makefile.am:44: warning: whitespace following trailing backslash
lib/Makefile.am: installing './depcomp'
configure: WARNING: using cross tools not prefixed with host triplet
configure: error: in `/home/pop/Desktop/buildsystem_mod/build_source/enigma2':
configure: error:
Could not link test program to Python. Maybe the main Python library has been
installed in some non-standard library path. If so, pass it to configure,
via the LIBS environment variable.
Example: ./configure LIBS="-L/usr/non-standard-path/python/lib"
================================================== ==========================
ERROR!
You probably have to install the development version of the Python package
for your distribution. The exact name of this package varies among them.
================================================== ==========================

See `config.log' for more details
make/enigma2.mk:180: recipe for target '/home/pop/Desktop/buildsystem_mod/build_source/enigma2/config.status' failed
make: *** [/home/pop/Desktop/buildsystem_mod/build_source/enigma2/config.status] Error 1

pop@pop-ubuntu:~/Desktop/buildsystem_mod$

sadaghiani
04.05.2020, 18:14
Makefile.am: installing './depcomp'
configure: WARNING: using cross tools not prefixed with host triplet
configure: error: unsupported value hs7429 for --with-boxmodel
make/tools.mk:349: recipe for target '/home/amir/buildsystem/.deps/tools-tuxcom' failed
make: *** [/home/amir/buildsystem/.deps/tools-tuxcom] Error 1

sadaghiani
06.05.2020, 20:38
Start build of tools-tuxcom.
Generating configuration files for tools-tuxcom, please wait....
libtoolize: putting auxiliary files in '.'.
libtoolize: linking file './ltmain.sh'
libtoolize: putting macros in AC_CONFIG_MACRO_DIRS, 'm4'.
libtoolize: linking file 'm4/libtool.m4'
libtoolize: linking file 'm4/ltoptions.m4'
libtoolize: linking file 'm4/ltsugar.m4'
libtoolize: linking file 'm4/ltversion.m4'
libtoolize: linking file 'm4/lt~obsolete.m4'
configure.ac:4: installing './compile'
configure.ac:4: installing './config.guess'
configure.ac:4: installing './config.sub'
configure.ac:2: installing './install-sh'
configure.ac:2: installing './missing'
Makefile.am: installing './depcomp'
configure: WARNING: using cross tools not prefixed with host triplet
configure: error: unsupported value hs7429 for --with-boxmodel
make/tools.mk:349: recipe for target '/home/amir/buildsystem/.deps/tools-tuxcom' failed
make: *** [/home/amir/buildsystem/.deps/tools-tuxcom] Error 1

Audioniek
07.05.2020, 01:05
Should be fixed now.

Regards,

Audioniek.

pop1234
09.05.2020, 01:41
hello Audioniek
E2 for spark
http://www.hdmedia-universe.com/board/showthread.php?8015-fehler-beim-bauen-mit-Audioniek-git&p=123390&viewfull=1#post123390

sadaghiani
09.05.2020, 18:33
STV090x Multistandard
Conextant cx24116 DVB-S2
---------------------------------------------------
hang on hd channels and then reset to factory
---------------------------------------------------
hs7429
cuberevo mini

sadaghiani
16.06.2020, 18:07
Professor
#audioniek
We got used to your comments
Don't let us know about you
I hope you are always healthy

ainouna
04.08.2020, 15:55
thank you audioniek
the last revision = 1454
it's fluid
it's really extraordinary
thank you thank you a thousand times
Mr. AUDIONIEK
for all the work you do

Regards
ainouna

ainouna
06.10.2020, 12:47
hello audioniek
after compilation
on the receiver it remains blocked on
run enigma2
Why
mr audioniek

Regards
ainouna

ainouna
09.10.2020, 12:45
Hi
Why
Why
Why
mr audioniek
your git and your builsystem is public
so why this restriction for me only
I cannot compile even with all the other builds

Regards
ainouna

Audioniek
09.10.2020, 14:34
so why this restriction for me only

First: please do not shout (writing in a large font).

Second: There no restrictions for anyone.

Third: If you cannot compile, first have a look at your Linux system. I have no messages frpm other the buildsystem has problems and I myself use it daily.

Fourth: Some extra info would be helpful, like a log or screen shot were the build fails, erro messages, receiver type, image type etc. With the current info I cannot help you.

Audioniek.

ainouna
15.10.2020, 11:58
salut audioniek
je ne crie pas ,j'essaie seulement d'attirer votre attention
==================================
I'm not shouting
I'm only trying to get your attention


my compilation
enigma2-7429-USB
log:
reading uImage
.................................................. .................................................. .................................................. .................................................. .................................................. .................................................. .................................................. ..................
.
ret=> 3781315

--={ Load Enigma2 from USB }=--

[init] Wait until /dev/sda exists
[init] ...found, mounting /dev/sda1
[init] Check root.img
enigma2: clean, 11/65536 files, 18560/262144 blocks
[init] Mount root.img
[init] Start Enigma2
switch_root: can't execute '/bin/devinit': No such file or directory
================================================== =
your compilation
enigma2-7429-USB-REV-1490
log:
reading uImage
.................................................. .................................................. .................................................. .................................................. .................................................. .................................................. .................................................. ...................
ret=> 3781315

--={ Load Enigma2 from USB }=--

[init] Wait until /dev/sda exists
[init] ...found, mounting /dev/sda1
[init] Check root.img
enigma2: clean, 4185/65536 files, 100628/262144 blocks
[init] Mount root.img
[init] Start Enigma2
INIT: version booting
[rcS] Start
Fortis HS7429 Enigma2
[rcS] Init frontpanel
[rcS] LOAD 10
[mountvirtfs] Mount /dev in tmpfs
[mountvirtfs] Copying device nodes to /dev
[rcS] Starting automounter
[rcS] Starting DEBUG Interface
[mountall] Mounting local filesystems...
mount: mounting LABEL=RECORD on /hdd failed: No such file or directory
Cleaning /tmp.
Hostname: hs7429.
[rcS] Starting telnetd /etc/init.d/rcS: line 42: /usr/sbin/telnetd: not found
with autologin
[rcS] Init stmfb (LOAD 9)
[rcS] Loading modules
[rcS] Load audio firmware (LOAD 8)
[rcS] Load video firmware (LOAD 7)
[rcS] Init embx (LOAD 6)
[rcS] Init AVS (LOAD 5)
[rcS] Init player 191 (LOAD 4)
[rcS] Init frontend
[rcS] Init CI & cardreader
[rcS] Full feature pti
[rcS] Init player2 (LOAD 3)
[rcS] Init HDMI-CEC
[rcS] Init remote control (LOAD 2)
[evremote2] Model: 'hs7429'
[evremote2] vBoxType: 8 (hs7429)
[evremote2] Input device name: "TDT RC event driver"
[evremote2] Remote selected: Fortis RemoteControl
[evremote2] RemoteControl Map:
Keyname Keyword KeyCode
--------------------------------------
MUTE - 0C - 113
POWER - 0A - 116
V.FORMAT - 0E - 372
RESOLUTION - 0F - 227
1 - 11 - 2
2 - 12 - 3
3 - 13 - 4
4 - 14 - 5
5 - 15 - 6
6 - 16 - 7
7 - 17 - 8
8 - 18 - 9
9 - 19 - 10
INFO - 06 - 358
0 - 10 - 11
RECALL - 09 - 158
VOLUMEUP - 4E - 115
MENU - 04 - 139
CHANNELUP - 5E - 402
VOLUMEDOWN - 4F - 114
EXIT - 1C - 174
CHANNELDOWN - 5F - 403
UP - 00 - 103
LEFT - 03 - 105
OK - 1F - 352
RIGHT - 02 - 106
DOWN - 01 - 108
PAGEUP - 43 - 104
EPG - 08 - 365
PAGEDOWN - 44 - 109
REWIND - 58 - 168
PLAY - 55 - 207
FASTFORWARD - 5C - 208
PREVIOUS - 50 - 412
RECORD - 56 - 167
NEXT - 4C - 407
PLAYLIST - 40 - 144
PAUSE - 07 - 119
STOP - 54 - 128
CHECK - 42 - 138
RED - 4B - 398
GREEN - 4A - 399
YELLOW - 49 - 400
BLUE - 48 - 401
PIP - 51 - 375
PIP_SWAP - 52 - 354
PIP_LIST - 53 - 390
SLEEP - 1E - 362
FAV - 41 - 364
TVRADIO - 1A - 378
SUBTITLE - 0B - 370
TEXT - 0D - 388
--------------------------------------
[evremote2] Frontpanel Map:
Keyname Keyword KeyCode
--------------------------------------
POWER - 00 - 116
OK - 06 - 352
MENU - 05 - 139
VOLUMEUP - 03 - 115
VOLUMEDOWN - 04 - 114
CHANNELUP - 01 - 402
CHANNELDOWN - 02 - 403
--------------------------------------
[evremote2] Supports Long KeyPress: yes
[evremote2 fortis] Period = 10, delay = 140
[evremote2] Using period = 10 delay = 140
[showiframe] showSinglePic /boot/bootlogo.mvi
[showiframe] VIDEO_SELECT_SOURCE MEMORY (Success)
[showiframe] VIDEO_PLAY (Success)
[showiframe] VIDEO_CONTINUE: (Success)
[showiframe] VIDEO_CLEAR_BUFFER: (Invalid argument)
Deconfiguring network interfaces... ifdown: interface eth0 not configured
ifdown: interface eth1 not configured
ifdown: interface wlan0 not configured
done.
[rcS] Starting DHCP
Starting DHCP client: udhcpcudhcpc: started, v1.32.0
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: no lease, failing
already running.
Setting up IP spoofing protection: rp_filter.
Configuring network interfaces... udhcpc: started, v1.32.0
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending select for 192.168.1.5
udhcpc: lease of 192.168.1.5 obtained, lease time 259200
ifconfig: SIOCGIFFLAGS: No such device
ifconfig: SIOCGIFFLAGS: No such device
done.
[rcS] Init WLAN: None.
[rcS] Do extras (LOAD 1)
[rcS] No inadyn
[rcS] No OpenSSH
[rcS] Set internet time
/etc/init.d/rcS: line 258: ntpd: not found
Current system time: 00:00:54 01-01-2000 (local)
Front panel time set to: 00:00:54 01-01-2000 (local)
Note: /proc/stb/fp/rtc_offset set to: +0 seconds.
[rcS] Init portmap & ftp
Starting portmap daemon...Trace/breakpoint trap
failed!
Starting FTP server: vsftpd.
/etc/init.d/rcS: line 269: /usr/sbin/inetd: not found
[rcS] Loading E2
[rcS] Entering E2 main loop
/etc/init.d/rcS: line 285: /usr/local/bin/enigma2: not found
[rcS] E2 ended <- return value: 127
[rcS] ERROR
INIT: Switching to runlevel: 6
[rcS] Entering E2 main loop
INIT: Sending processes configured via /etc/inittab the TERM signal
Sending all processes the TERM signal...done.
Sending all processes the KILL signal...done.
Deactivating swap...umount: tmpfs busy - remounted read-only
done.
Unmounting local filesystems...umount: tmpfs busy - remounted read-only
umount: can't unmount /mnt: Invalid argument
umount: can't unmount /sys: Invalid argument
done.

mes respects
mr audioniek
ainouna

Audioniek
16.10.2020, 12:53
OK. Receiver is a HS7429 and your have built an USB image. The first thing I would try in this case is build a flash image in flash that into the receiver. The second thing that strikes me as odd is that the directories /user/sbin and /usr/local/bin seem to be missing or have incomplete files. This may be caused by read problems from the USB being used, or prematurely ejecting the USB stick from the computer used to prepare it. What is strange in this respect is that the filecheck right after the start succeeds.

Try downloading my ready built USB image for the HS7429 and see if that runs better. If it does, USB stick problems can be ruled out and the problem is with you buildsystem.

Regards,

Audioniek.

ainouna
16.10.2020, 16:09
hi audioniek
I tried
with your image -usb hs7429 rev 1490
here is the log

your compilation
enigma2-7429-USB-REV-1490
log:
reading uImage
.................................................. .................................................. .................................................. .................................................. .................................................. .................................................. .................................................. ...................
ret=> 3781315

--={ Load Enigma2 from USB }=--

[init] Wait until /dev/sda exists
[init] ...found, mounting /dev/sda1
[init] Check root.img
enigma2: clean, 4185/65536 files, 100628/262144 blocks
[init] Mount root.img
[init] Start Enigma2
INIT: version booting
[rcS] Start
Fortis HS7429 Enigma2
[rcS] Init frontpanel
[rcS] LOAD 10
[mountvirtfs] Mount /dev in tmpfs
[mountvirtfs] Copying device nodes to /dev
[rcS] Starting automounter
[rcS] Starting DEBUG Interface
[mountall] Mounting local filesystems...
mount: mounting LABEL=RECORD on /hdd failed: No such file or directory
Cleaning /tmp.
Hostname: hs7429.
[rcS] Starting telnetd /etc/init.d/rcS: line 42: /usr/sbin/telnetd: not found
with autologin
[rcS] Init stmfb (LOAD 9)
[rcS] Loading modules
[rcS] Load audio firmware (LOAD 8)
[rcS] Load video firmware (LOAD 7)
[rcS] Init embx (LOAD 6)
[rcS] Init AVS (LOAD 5)
[rcS] Init player 191 (LOAD 4)
[rcS] Init frontend
[rcS] Init CI & cardreader
[rcS] Full feature pti
[rcS] Init player2 (LOAD 3)
[rcS] Init HDMI-CEC
[rcS] Init remote control (LOAD 2)
[evremote2] Model: 'hs7429'
[evremote2] vBoxType: 8 (hs7429)
[evremote2] Input device name: "TDT RC event driver"
[evremote2] Remote selected: Fortis RemoteControl
[evremote2] RemoteControl Map:
Keyname Keyword KeyCode
--------------------------------------
MUTE - 0C - 113
POWER - 0A - 116
V.FORMAT - 0E - 372
RESOLUTION - 0F - 227
1 - 11 - 2
2 - 12 - 3
3 - 13 - 4
4 - 14 - 5
5 - 15 - 6
6 - 16 - 7
7 - 17 - 8
8 - 18 - 9
9 - 19 - 10
INFO - 06 - 358
0 - 10 - 11
RECALL - 09 - 158
VOLUMEUP - 4E - 115
MENU - 04 - 139
CHANNELUP - 5E - 402
VOLUMEDOWN - 4F - 114
EXIT - 1C - 174
CHANNELDOWN - 5F - 403
UP - 00 - 103
LEFT - 03 - 105
OK - 1F - 352
RIGHT - 02 - 106
DOWN - 01 - 108
PAGEUP - 43 - 104
EPG - 08 - 365
PAGEDOWN - 44 - 109
REWIND - 58 - 168
PLAY - 55 - 207
FASTFORWARD - 5C - 208
PREVIOUS - 50 - 412
RECORD - 56 - 167
NEXT - 4C - 407
PLAYLIST - 40 - 144
PAUSE - 07 - 119
STOP - 54 - 128
CHECK - 42 - 138
RED - 4B - 398
GREEN - 4A - 399
YELLOW - 49 - 400
BLUE - 48 - 401
PIP - 51 - 375
PIP_SWAP - 52 - 354
PIP_LIST - 53 - 390
SLEEP - 1E - 362
FAV - 41 - 364
TVRADIO - 1A - 378
SUBTITLE - 0B - 370
TEXT - 0D - 388
--------------------------------------
[evremote2] Frontpanel Map:
Keyname Keyword KeyCode
--------------------------------------
POWER - 00 - 116
OK - 06 - 352
MENU - 05 - 139
VOLUMEUP - 03 - 115
VOLUMEDOWN - 04 - 114
CHANNELUP - 01 - 402
CHANNELDOWN - 02 - 403
--------------------------------------
[evremote2] Supports Long KeyPress: yes
[evremote2 fortis] Period = 10, delay = 140
[evremote2] Using period = 10 delay = 140
[showiframe] showSinglePic /boot/bootlogo.mvi
[showiframe] VIDEO_SELECT_SOURCE MEMORY (Success)
[showiframe] VIDEO_PLAY (Success)
[showiframe] VIDEO_CONTINUE: (Success)
[showiframe] VIDEO_CLEAR_BUFFER: (Invalid argument)
Deconfiguring network interfaces... ifdown: interface eth0 not configured
ifdown: interface eth1 not configured
ifdown: interface wlan0 not configured
done.
[rcS] Starting DHCP
Starting DHCP client: udhcpcudhcpc: started, v1.32.0
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: sending discover
udhcpc: sendto: Network is down
udhcpc: read error: Network is down, reopening socket
udhcpc: no lease, failing
already running.
Setting up IP spoofing protection: rp_filter.
Configuring network interfaces... udhcpc: started, v1.32.0
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending discover
udhcpc: sending select for 192.168.1.5
udhcpc: lease of 192.168.1.5 obtained, lease time 259200
ifconfig: SIOCGIFFLAGS: No such device
ifconfig: SIOCGIFFLAGS: No such device
done.
[rcS] Init WLAN: None.
[rcS] Do extras (LOAD 1)
[rcS] No inadyn
[rcS] No OpenSSH
[rcS] Set internet time
/etc/init.d/rcS: line 258: ntpd: not found
Current system time: 00:00:54 01-01-2000 (local)
Front panel time set to: 00:00:54 01-01-2000 (local)
Note: /proc/stb/fp/rtc_offset set to: +0 seconds.
[rcS] Init portmap & ftp
Starting portmap daemon...Trace/breakpoint trap
failed!
Starting FTP server: vsftpd.
/etc/init.d/rcS: line 269: /usr/sbin/inetd: not found
[rcS] Loading E2
[rcS] Entering E2 main loop
/etc/init.d/rcS: line 285: /usr/local/bin/enigma2: not found
[rcS] E2 ended <- return value: 127
[rcS] ERROR
INIT: Switching to runlevel: 6
[rcS] Entering E2 main loop
INIT: Sending processes configured via /etc/inittab the TERM signal
Sending all processes the TERM signal...done.
Sending all processes the KILL signal...done.
Deactivating swap...umount: tmpfs busy - remounted read-only
done.
Unmounting local filesystems...umount: tmpfs busy - remounted read-only
umount: can't unmount /mnt: Invalid argument
umount: can't unmount /sys: Invalid argument
done.

Audioniek
16.10.2020, 18:36
The missing files are about the same as with your own build. That leaves to possibilities. The first is that your receiver has a hardware defect. The second is that I suspect your are trying to run an image intended for a hs7429 on a hs7420, which is highly hardware compatible but has less RAM and flash memory. What is the exact brand and complete model number of the receiver you are using?

Regards,

Audioniek.

ainouna
17.10.2020, 10:50
Hello
audioniek
my own build

my compilation
enigma2-7429-USB
log:
reading uImage
.................................................. .................................................. .................................................. .................................................. .................................................. .................................................. .................................................. ..................
.
ret=> 3781315

--={ Load Enigma2 from USB }=--

[init] Wait until /dev/sda exists
[init] ...found, mounting /dev/sda1
[init] Check root.img
enigma2: clean, 11/65536 files, 18560/262144 blocks
[init] Mount root.img
[init] Start Enigma2
switch_root: can't execute '/bin/devinit': No such file or directory
==============================================
receiver name=FOREVER HD7474
firmware=2.12.31
boot=7.37
id reseller=Forever HD-7474 PVR:271530A0

Regards
ainouna

Audioniek
17.10.2020, 15:20
Thank you for your reply. Your receiver is indeed a HS7429. Meanwhile I can reproduce your problem, and I am looking into it at the moment. This also means that the USB images for the HS7119, HS7819 and HS7429 do not work at the moment, although nobody beside you has reported it yet.

Regards,

Audioniek.

ainouna
18.10.2020, 12:25
bonjour audioniek
auhourd'hui avec le nouveau flash.git
elle reste sur start enigma2
et ca ne demarre pas
=============================
hello audioniek
today with the new flash.git
she stays on start enigma2
and it doesn't start

here is
screenshot log =15975

Regards
ainouna

Audioniek
18.10.2020, 14:32
Hello ainouna,

As you have noticed, I changed a few things. I discovered that the creation of the file root.img did not work as intended. I case you have not done so yet, do a git pull in the flash directory.

The second important thing is to create the files for the USB stick not with fakeroot, but with sudo:


sudo ./flash.sh

With my test receiver, then everything works as intended. There was nothing wrong with the image itself, only with the file root.img.

Regards,

Audioniek.

ainouna
18.10.2020, 15:19
re-bonjour
MR AUDIONIEK
maintenant c'est bon pour enigma2-usb
et meme pour neutrino-usb
mes respects monsieur
et encore mille fois merci
une derniere chose
j'ai autorisation de modifier ce que je veux
pour moi seulement
========================================
Hello again
MR AUDIONIEK
now it's good for enigma2-usb
and even for neutrino-usb
my respects sir
and again a thousand times thank you
one last thing
I have permission to modify what I want
for me only

Regards
ainouna

Audioniek
18.10.2020, 16:12
Hello ainouna,

I am glad you got everything working now.

Because everything is open source you of course anything you like and try things out. The same is true for the contents of my git, and if you like it you can fork it and start your own.

Regards,

Audioniek.

ainouna
23.10.2020, 14:52
bonjour audioniek
apres compilation
pas d'update de neutrino -dtt
elle stagne sur release 3.7.7
maintenant elle est a release:3.8.0
api:lua 1.87
================================

hello audioniek
after compilation
no neutrino update
it stagnates on release 3.7.7
now it is in release: 3.8.0
api: lua 1.87

Regards
ainouna

ainouna
24.10.2020, 17:02
hello audioniek
compilation enigma2 error
enigma2_servicemp3epl problem
here is the log:
15979

Regards
ainouna

Audioniek
25.10.2020, 13:53
no neutrino update
it stagnates on release 3.7.7
now it is in release: 3.8.0
api: lua 1.87

This is correct. Because Neutrino is still developed, I had to change the patches for the various receivers too often, sometimes on a weekly basis. Also, slowly but surely, everybody developing Neutrino is abandoning SH4 and introducing more and more build problems I have difficulty to solve. For those reasons a Neutrino build always checks out the same revision, and therefore after the build the version is always the same.
I guess it is time to actualise the settings and update to a newer Neutrino version.



compilation enigma2 error
enigma2_servicemp3epl problem

You caught me in the middle of regular maintenance. Over the last days, the git used for servicemp3epl was changed quite a few times and a new branch was added. I am in the middle of changing to use this branch which eliminates a patch, and by the time you read this things should work again, after doing this:



In the buildsystem directory, do a git pull. This should update at least make/enigma2-plugins.mk and probably patches/build-enigma2/enigma2-servicemp3epl-0.1.patch;
In ~/Archive, delete or rename the directory enigma2-servicemp3epl-0.1.git;
Restart the build.


Everything should work now.

Regards,
Audioniek.

ainouna
26.10.2020, 15:18
hello audioniek
excuse me
now
there are no more neutrinos
compilation error
here is the log:
15987

Regards
ainouna

Audioniek
26.10.2020, 19:16
I have done two test builds on both Linux Mint 18.3 32-bit and Ubuntu 20.04 LTS (64-bit) and I cannot reproduce your problem. What are you trying to build exactly on what distribution? And what parameters do you put in to start the build?

Regards,
Audioniek.

ainouna
27.10.2020, 11:20
hello audioniek

I use
Ubuntu 18.04 LTS (64-bit)
and I compile with your buildsystem
excuse me
after gitpull
everything is OK
compilation = enigma2 ok
compilation = neutrino-dtt new version ok
thank you sir

Regards
ainouna

ainouna
29.10.2020, 11:35
bonjour audioniek
c"est possible de compiler plusieurs
receivers a la fois
===============================
hello audioniek
it is possible to compile several
receivers

Regards
ainouna

Audioniek
29.10.2020, 13:46
it is possible to compile several receivers

I assume you mean at the same time. With the current buildsystem the answer is no. The main reason is that several packages are dependant on others and use each others symbols. In theory packages that that always compile to the same binaries could be compiled once and saved for later builds for other receivers, thus saving time, but I do not know of a toolchain that does this. The often used OpenEmbedded also builds for one receiver at the time, but retains the build result of previous built other models. The buildsystem does not do this. The solution I use is setting up a build environment per receiver model.

Regards,
Audioniek.

ainouna
29.10.2020, 14:53
The solution I use is setting up a build environment per receiver model.

je peux savoir comment proceder a l'execution de cet environnement
==============================================
I can know how to run this environment

Regards
ainouna

clausi_e
31.10.2020, 15:32
Hallo, ich habe versucht, mit dem Git ein Image zu generieren für Spark Triplex 7162 für Enigma2 mit Standardeinstellungen. Ich erhalte nach mehreren Versuchen immer folgende Fehlermeldung:
configure: WARNING: using cross tools not prefixed with host triplet
CDPATH="${ZSH_VERSION+.}:" && cd . && /bin/sh /home/mike/audio/buildsystem/build_tmp/libusb-1.0.22/missing aclocal-1.15 -I m4
/home/mike/audio/buildsystem/build_tmp/libusb-1.0.22/missing: line 81: aclocal-1.15: command not found
WARNING: 'aclocal-1.15' is missing on your system.
You should only need it if you modified 'acinclude.m4' or
'configure.ac' or m4 files included by 'configure.ac'.
The 'aclocal' program is part of the GNU Automake package:
<http://www.gnu.org/software/automake>
It also requires GNU Autoconf, GNU m4 and Perl in order to run:
<http://www.gnu.org/software/autoconf>
<http://www.gnu.org/software/m4/>
<http://www.perl.org/>
make[1]: *** [Makefile:419: aclocal.m4] Error 127
make: *** [make/contrib-libs.mk:1985: /home/mike/audio/buildsystem/.deps/libusb] Fehler 2
Was könnte ich tun ? Danke ! Ich baue mit Linux Mint 20.04, ein Ubuntu Linux. Duckbox-git und Ni-Git klappen damit hervorragend

Edit: Ich habe es zum Durchbauen bewegen können, unter Ubuntu 18.4 LTE. Aber leider ist es wie bei Audionieks downloadbarem Image , dass man keinen Wlantreiber und keine Plugins auswählen kann trotz Auswahl der Wlan-Variante, und bei beiden Images der Kabelmodus nicht fünktioniert ohne Nachbearbeitung des Uniontunertypes in der rcS, ansonsten ist es recht flott unterwegs. Danke !

Audioniek
01.11.2020, 18:09
Ich erhalte nach mehreren Versuchen immer folgende Fehlermeldung:
configure: WARNING: using cross tools not prefixed with host triplet

Dies wird verursacht durch eine Unvolkommenheit im STM compiler-satz. Aber keine Sorgen: es ist nur ein Warnung und beeinflusst der Bau nicht negativ.


/home/mike/audio/buildsystem/build_tmp/libusb-1.0.22/missing: line 81: aclocal-1.15: command not found
WARNING: 'aclocal-1.15' is missing on your system.

Leider ist libusb ein Package das ein spezifische Version von automake verlangt. Es baut aber auch mit neuere Versionen und ich habe den Bauvorgang geändert damit die Versionskontrolle immer klappt. Mach ein git pull, und es soll auch mit Mint 20 / Ubuntu 20.04 gehen.


Aber leider ist es wie bei Audionieks downloadbarem Image , dass man keinen Wlantreiber und keine Plugins auswählen kann trotz Auswahl der Wlan-Variante, und bei beiden Images der Kabelmodus nicht funktioniert ohne Nachbearbeitung des Uniontunertypes in der rcS, ansonsten ist es recht flott unterwegs.

Ihre Feststellung ist korrekt. Ich habe früher ein Plugin gehabt die für diese Mankos Abhilfe schafft. Ich werde mich mal bemühen die aufzutauchen und wieder zu zu fügen.

Grüße,

Audioniek.

clausi_e
02.11.2020, 12:22
Guten Tag, besten Dank für das Feedback. Ich werde in den nächsten Tagen noch testen, auch neutrino ddt, tango und hd2 bauen nicht durch. Was noch ging, ist Tvheadend, aber ich habe keine Verwendung dafür. Gruß aus Thüringen Clausi_e

clausi_e
02.11.2020, 17:21
Übrgens, der Bau mit Linux Mint 20.04 funktioniert jetzt für Enigma2. Für Neutrino ddt mit Standardeinstellungen mit Plugins und Wlan kommt folgende Meldung:
Build of neutrino-ddt-plugins completed.

================================================== ============

Start build of neutrino_release.
Copying image to release directory...ln: failed to create symbolic link '/home/mike/audio/buildsystem/tufsbox/release/usr/share/fonts/tuxtxt.ttf': File exists
make: *** [make/neutrino-release.mk:634: neutrino-release-base] Fehler 1
Danke !!

Audioniek
06.11.2020, 14:06
@clausi_e

Sorry for responding so late. I meanwhile have fixed the neutrino build error.

Regards,

Audioniek.

clausi_e
07.11.2020, 16:59
Thank You very much for Your support. Neutrino-DDT is now building under Ubuntu-18.04. If You would find the plugins and wlan-driver for enigma2, I´d test it. Thank You !!

ainouna
09.11.2020, 10:16
bonjour
Audioniek
mon systeme d'exploitation est ubuntu 20.04
je construit une image openembedded
et je rencontre un probleme
une solution elle est la bienvenue
monsieur audioniek
================================================== ==
Hello
Audioniek
my operating system is ubuntu 20.04
I'm building an openembedded image
and I have a problem
here is the log
15991
a solution is welcome
mr audioniek

Regards
ainouna

ainouna
16.11.2020, 13:21
hello Audioniek
today-11-16-2020
build image enigma2 error
here is the log
15996

Regards
ainouna

ainouna
17.11.2020, 10:54
hello Audioniek
today-11-17-2020
build image enigma2 error
here is the log
15994

Regards
ainouna

Audioniek
17.11.2020, 11:57
Hello aiouna,

I discovered this late yesterday evening, and it has meanwhile been fixed. After a git pull things should work well again.

Regards,

Audioniek.

ainouna
17.11.2020, 12:16
Hello again
audioniek
I found the error
in = patches / build-enigma2 / enigma2-pli-nightly.0.diff
and
enigma2-pli-nightly.2.diff
line = 1810 missing backslash
thank you mr audioniek

Regards
ainouna

ainouna
20.11.2020, 14:05
hello audioniek
today 20-11-2020
rc_opt9600.png is missing
in root / release /
thank you audioniek

Regards
ainouna

Audioniek
20.11.2020, 16:19
Thanks for the feedback. I just pushed the file.

Regards,

Audioniek.

ainouna
05.01.2021, 10:22
hi audioniek
after an absence and return home
I compiled 1 enigma2 image
enigma2 construction error

====================================
I'm sorry I found the problem and I solved the problem
thank you audioniek



Regards

ainouna

kader_73
01.02.2021, 13:59
Hello Audioniek ,

I try to build an OpenPli E2 from the Starter repository (https://github.com/Audioniek/sh4-oe-starter), following all steps in readme.md; the build start with some warnings and one red sensitive ERROR.
here is the result :kader@debian-kader:~/SH4_oe$ MACHINE=hs7819 make image
Building image for hs7819
WARNING: Layer atemio-layer should set LAYERSERIES_COMPAT_atemio-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer cuberevo-layer should set LAYERSERIES_COMPAT_cuberevo-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer fortis-layer should set LAYERSERIES_COMPAT_fortis-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer fulan-layer should set LAYERSERIES_COMPAT_fulan-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer ipbox-layer should set LAYERSERIES_COMPAT_ipbox-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer kathrein-layer should set LAYERSERIES_COMPAT_kathrein-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer sh4-layer should set LAYERSERIES_COMPAT_sh4-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer sh4_misc-layer should set LAYERSERIES_COMPAT_sh4_misc-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer atemio-layer should set LAYERSERIES_COMPAT_atemio-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer cuberevo-layer should set LAYERSERIES_COMPAT_cuberevo-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer fortis-layer should set LAYERSERIES_COMPAT_fortis-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer fulan-layer should set LAYERSERIES_COMPAT_fulan-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer ipbox-layer should set LAYERSERIES_COMPAT_ipbox-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer kathrein-layer should set LAYERSERIES_COMPAT_kathrein-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer sh4-layer should set LAYERSERIES_COMPAT_sh4-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer sh4_misc-layer should set LAYERSERIES_COMPAT_sh4_misc-layer in its conf/layer.conf file to list the core layer names it is compatible with.
Loading cache: 100% |################################################# ###############################################| Time: 0:00:00
Loaded 6 entries from dependency cache.
ERROR: ExpansionError during parsing /home/kader/SH4_oe/meta-local/recipes-local/images/my-image.bb | ETA: --:--:--
Traceback (most recent call last):
File "/home/kader/SH4_oe/bitbake/lib/bb/data_smart.py", line 424, in DataSmart.expandWithRefs(s="${@base_conditional('SITEINFO_ENDIANNESS', 'le', '--little-endian', '--big-endian', d)}", varname='JFFS2_ENDIANNESS'):
tb = sys.exc_info()[2]
> raise ExpansionError(varname, s, exc).with_traceback(tb) from exc

File "/home/kader/SH4_oe/bitbake/lib/bb/data_smart.py", line 411, in DataSmart.expandWithRefs(s="${@base_conditional('SITEINFO_ENDIANNESS', 'le', '--little-endian', '--big-endian', d)}", varname='JFFS2_ENDIANNESS'):
try:
> s = __expand_python_regexp__.sub(varparse.python_sub, s)
except SyntaxError as e:
File "/home/kader/SH4_oe/bitbake/lib/bb/data_smart.py", line 136, in VariableParse.python_sub(match=<_sre.SRE_Match object; span=(0, 87), match="${@base_conditional('SITEINFO_ENDIANNESS', 'le', >):
self.contains[k].update(parser.contains[k])
> value = utils.better_eval(codeobj, DataContext(self.d), {'d' : self.d})
return str(value)
File "/home/kader/SH4_oe/bitbake/lib/bb/utils.py", line 421, in better_eval(source=<code object <module> at 0x7fcac81c84b0, file "Var <JFFS2_ENDIANNESS>", line 1>, locals={'d': <bb.data_smart.DataSmart object at 0x7fcac84cecc0>}, extraglobals={'d': <bb.data_smart.DataSmart object at 0x7fcac84cecc0>}):
ctx[g] = extraglobals[g]
> return eval(source, ctx, locals)

File "Var <JFFS2_ENDIANNESS>", line 1, in <module>
bb.data_smart.ExpansionError: Failure expanding variable JFFS2_ENDIANNESS, expression was ${@base_conditional('SITEINFO_ENDIANNESS', 'le', '--little-endian', '--big-endian', d)} which triggered exception NameError: name 'base_conditional' is not defined


Summary: There were 16 WARNING messages shown.
Summary: There was 1 ERROR message shown, returning a non-zero exit code.
Makefile:117 : la recette pour la cible « image » a échouée
make: *** [image] Erreur 1
kader@debian-kader:~/SH4_oe$

Any help please !!

Regards

clausi_e
05.02.2021, 10:57
I tried to build Spark7162 and got this message:

--2021-02-05 10:40:51-- http://effbot.org/media/downloads/elementtree-1.2.6-20050316.tar.gz
Resolving effbot.org (effbot.org)... 185.199.109.153, 185.199.108.153, 185.199.110.153, ...
Connecting to effbot.org (effbot.org)|185.199.109.153|:80... connected.
HTTP request sent, awaiting response... 301 Moved Permanently
Location: https://effbot.org/media/downloads/elementtree-1.2.6-20050316.tar.gz [following]
--2021-02-05 10:40:53-- https://effbot.org/media/downloads/elementtree-1.2.6-20050316.tar.gz
Connecting to effbot.org (effbot.org)|185.199.109.153|:443... connected.
HTTP request sent, awaiting response... 404 Not Found
2021-02-05 10:40:53 ERROR 404: Not Found.

make: *** [make/python.mk:550: /home/clausi/Archive/elementtree-1.2.6-20050316.tar.gz] Fehler 8

Can You help me ? Thanks !

kader_73
06.02.2021, 12:16
@ clausi_e ,

Try to downlaod the file missed (https://src.fedoraproject.org/repo/pkgs/python-elementtree/elementtree-1.2.6-20050316.tar.gz/e1b21716be8bfff8bf192fc3880ad008/) directly from the web , put it in Archive dir. And restrat the buid .

Regards

Audioniek
08.02.2021, 18:41
clausi_e: Problem has been fixed.

kader_73: The Openembedded stuff, of which Starter repository (https://github.com/Audioniek/sh4-oe-starter)is one, were my attempt to switch to the OE way of building. I learnt a few things:


The build takes several hours for one receiver, the buildsystem does the same in twenty minutes on the same machine;
The need to learn a new poorly documented language: BitBake;
The successful build for one receiver creates over one million (!) files on your hard disk, and a mechanism of cleaning them up is effectively not provided;
OE is VERY maintenance intensive;
OE changes its syntax in ways that are often not backwards compatible -> see previous point.


As a result I have abandoned this environment, leaving the gits unmaintained in place.

The current problems you mention are not easy to fix. There are other OE based build environments on the net, but my in experience they seldom end in a successful build and I consider them a big waste of time. Maybe you have more luck than me, but do not bother with my OE stuff.

Regards,

Audioniek.

pop1234
10.02.2021, 16:44
Hello Audioniek ,

I try to build an OpenPli E2 from the Starter repository (https://github.com/Audioniek/sh4-oe-starter), following all steps in readme.md; the build start with some warnings and one red sensitive ERROR.
here is the result :kader@debian-kader:~/SH4_oe$ MACHINE=hs7819 make image
Building image for hs7819
WARNING: Layer atemio-layer should set LAYERSERIES_COMPAT_atemio-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer cuberevo-layer should set LAYERSERIES_COMPAT_cuberevo-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer fortis-layer should set LAYERSERIES_COMPAT_fortis-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer fulan-layer should set LAYERSERIES_COMPAT_fulan-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer ipbox-layer should set LAYERSERIES_COMPAT_ipbox-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer kathrein-layer should set LAYERSERIES_COMPAT_kathrein-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer sh4-layer should set LAYERSERIES_COMPAT_sh4-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer sh4_misc-layer should set LAYERSERIES_COMPAT_sh4_misc-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer atemio-layer should set LAYERSERIES_COMPAT_atemio-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer cuberevo-layer should set LAYERSERIES_COMPAT_cuberevo-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer fortis-layer should set LAYERSERIES_COMPAT_fortis-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer fulan-layer should set LAYERSERIES_COMPAT_fulan-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer ipbox-layer should set LAYERSERIES_COMPAT_ipbox-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer kathrein-layer should set LAYERSERIES_COMPAT_kathrein-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer sh4-layer should set LAYERSERIES_COMPAT_sh4-layer in its conf/layer.conf file to list the core layer names it is compatible with.
WARNING: Layer sh4_misc-layer should set LAYERSERIES_COMPAT_sh4_misc-layer in its conf/layer.conf file to list the core layer names it is compatible with.
Loading cache: 100% |################################################# ###############################################| Time: 0:00:00
Loaded 6 entries from dependency cache.
ERROR: ExpansionError during parsing /home/kader/SH4_oe/meta-local/recipes-local/images/my-image.bb | ETA: --:--:--
Traceback (most recent call last):
File "/home/kader/SH4_oe/bitbake/lib/bb/data_smart.py", line 424, in DataSmart.expandWithRefs(s="${@base_conditional('SITEINFO_ENDIANNESS', 'le', '--little-endian', '--big-endian', d)}", varname='JFFS2_ENDIANNESS'):
tb = sys.exc_info()[2]
> raise ExpansionError(varname, s, exc).with_traceback(tb) from exc

File "/home/kader/SH4_oe/bitbake/lib/bb/data_smart.py", line 411, in DataSmart.expandWithRefs(s="${@base_conditional('SITEINFO_ENDIANNESS', 'le', '--little-endian', '--big-endian', d)}", varname='JFFS2_ENDIANNESS'):
try:
> s = __expand_python_regexp__.sub(varparse.python_sub, s)
except SyntaxError as e:
File "/home/kader/SH4_oe/bitbake/lib/bb/data_smart.py", line 136, in VariableParse.python_sub(match=<_sre.SRE_Match object; span=(0, 87), match="${@base_conditional('SITEINFO_ENDIANNESS', 'le', >):
self.contains[k].update(parser.contains[k])
> value = utils.better_eval(codeobj, DataContext(self.d), {'d' : self.d})
return str(value)
File "/home/kader/SH4_oe/bitbake/lib/bb/utils.py", line 421, in better_eval(source=<code object <module> at 0x7fcac81c84b0, file "Var <JFFS2_ENDIANNESS>", line 1>, locals={'d': <bb.data_smart.DataSmart object at 0x7fcac84cecc0>}, extraglobals={'d': <bb.data_smart.DataSmart object at 0x7fcac84cecc0>}):
ctx[g] = extraglobals[g]
> return eval(source, ctx, locals)

File "Var <JFFS2_ENDIANNESS>", line 1, in <module>
bb.data_smart.ExpansionError: Failure expanding variable JFFS2_ENDIANNESS, expression was ${@base_conditional('SITEINFO_ENDIANNESS', 'le', '--little-endian', '--big-endian', d)} which triggered exception NameError: name 'base_conditional' is not defined


Summary: There were 16 WARNING messages shown.
Summary: There was 1 ERROR message shown, returning a non-zero exit code.
Makefile:117 : la recette pour la cible « image » a échouée
make: *** [image] Erreur 1
kader@debian-kader:~/SH4_oe$

Any help please !!

Regards


change line 38 (https://github.com/Audioniek/meta-sh4/blob/master/conf/machine/include/oem-sh4.inc#L38) to

JFFS2_ENDIANNESS = "${@oe.utils.conditional('SITEINFO_ENDIANNESS', 'le', '--little-endian', '--big-endian', d)}"

sadaghiani
23.04.2021, 17:39
hs7429
neutrino ddt


/home/amir/buildsystem/driver/frontcontroller/nuvoton/nuvoton_procfs.c:1131:37: error: 'vfd_onoff_write' undeclared here (not in a function)
{ "stb/power/vfd", vfd_onoff_read, vfd_onoff_write },
^
make[4]: *** [scripts/Makefile.build:229: /home/amir/buildsystem/driver/frontcontroller/nuvoton/nuvoton_procfs.o] Error 1
make[3]: *** [scripts/Makefile.build:365: /home/amir/buildsystem/driver/frontcontroller/nuvoton] Error 2
make[2]: *** [scripts/Makefile.build:365: /home/amir/buildsystem/driver/frontcontroller] Error 2
make[2]: *** Waiting for unfinished jobs....
CC [M] /home/amir/buildsystem/driver/avs/avs_none.o
CC [M] /home/amir/buildsystem/driver/avs/avs_pio.o
LD [M] /home/amir/buildsystem/driver/cec/cec.o
CC [M] /home/amir/buildsystem/driver/avs/vip2_avs.o
CC [M] /home/amir/buildsystem/driver/avs/vip1_avs.o
CC [M] /home/amir/buildsystem/driver/avs/stv6419.o
LD [M] /home/amir/buildsystem/driver/avs/avs.o
make[1]: *** [Makefile:1429: _module_/home/amir/buildsystem/driver] Error 2
make: *** [make/driver.mk:20: /home/amir/buildsystem/.deps/driver] Error 2


- - - Aktualisiert - - -

make[3]: *** [/home/amir/buildsystem/driver/kernel.make:45: clean] Error 2
make[2]: *** [make/driver.mk:5: driver-clean] Error 2
make[1]: [make/cleantargets.mk:18: distclean] Error 2 (ignored)

Audioniek
25.04.2021, 17:23
This problem has been fixed some time ago. However I did discover some other potential problem in nuvoton_procfs and have fixed that too. Do a git pull in the driver subdirectory of your build environment, or better still do a make update. After that this problem should no longer occur.

Regards,

Audioniek.

sadaghiani
26.04.2021, 20:23
fortis_3G_flash.sh: line 139: /home/amir/buildsystem/flash/flash_tools/fup: No such file or directory
/home/amir/buildsystem/flash/scripts/flash/fortis_3G_flash.sh: line 144: /home/amir/buildsystem/flash/flash_tools/fup: No such file or directory
md5sum: /home/amir/buildsystem/flash/out/hs7429_neutrino_builtin_flash_R270130A0.ird: No such file or directory
done.
- Creating .ZIP output file... done.


-- Result -------------------------------------------------------------


Output file(s) created in /home/amir/buildsystem/flash/out:


total 4.0K
-rw-rw-r-- 1 244 Apr 26 11:21 hs7429_neutrino_builtin_flash_P217_CDK-rev1629_HAL-rev1628_NMP-rev13119-ddt.zip
-rw-rw-r-- 1 0 Apr 26 11:21 hs7429_neutrino_builtin_flash_R270130A0.ird.md5

sadaghiani
27.04.2021, 18:28
KBUILD_VERBOSE=normal
BOXTYPE=hs7429
KERNEL_STM=p0217
BS_GCC_VER=4.8.4
IMAGE=neutrino
FLAVOUR=neutrino-ddt
PLUGINS_NEUTRINO=Yes
OPTIMIZATIONS=size
MEDIAFW=buildinplayer
EXTERNAL_LCD=none
DESTINATION=flash
.................................................. ...................
configure: error: unsupported value hs7429 for --with-boxmodel
make: *** [make/neutrino.mk:221: /home/amir/buildsystem/build_source/libstb-hal-tangos/config.status] Error 1

sadaghiani
01.05.2021, 19:48
-- Result -------------------------------------------------------------


Output file(s) created in /home/amir/buildsystem/flash/out:


total 4.0K
-rw-rw-r-- 1 244 May 1 10:47 hs7429_neutrino_builtin_flash_P217_CDK-rev1634_HAL-rev1628_NMP-rev13119-ddt.zip
-rw-rw-r-- 1 0 May 1 10:47 hs7429_neutrino_builtin_flash_R270130A0.ird.md5

Audioniek
01.05.2021, 22:01
KBUILD_VERBOSE=normal
BOXTYPE=hs7429
KERNEL_STM=p0217
BS_GCC_VER=4.8.4
IMAGE=neutrino
FLAVOUR=neutrino-ddt
PLUGINS_NEUTRINO=Yes
OPTIMIZATIONS=size
MEDIAFW=buildinplayer
EXTERNAL_LCD=none
DESTINATION=flash
.................................................. ...................
configure: error: unsupported value hs7429 for --with-boxmodel
make: *** [make/neutrino.mk:221: /home/amir/buildsystem/build_source/libstb-hal-tangos/config.status] Error 1


This is a bit strange. According to the listed config fle, you are building neutrino-ddt with plugins, yet, the error message is about neutrino-tangos. In addition when I build either neutrino-ddt or neutrino-tangos for a hs7429, the error message "configure: error: unsupported value hs7429 for --with-boxmodel" does not (and should not) occur. Do you have the correct patches installed?


Output file(s) created in /home/amir/buildsystem/flash/out:


total 4.0K

The problem here is that your buildsystem has the executable fup missing. When that is the case, it should be compiled automatically and installed in the right place when you start ./flash.sh in the directory /home/amir/buildsystem//flash. Apparently compiling fup fails. Try and compile it by hand as follows:

Open a terminal and go to the directory /home/amir/buildsystem/flash/flash_tools/fup.src. Here you should find a script ./comple.sh. Execute it (./compile.sh). The compilation should yield no errors and/or warnings and an executable file fup should have been created. If so, test the file by executing it: ./fup. fup should display its usage. Its version number should be 1.9.8. If this fails, update your flash directory by doing a git pull in /home/amir/buildsystem/flash.

If fup is created, move the file fup to the directory /home/amir/buildsystem/flash/flash_tools and rerun fakeroot ./flash.sh after the build of the image has finished succesfully.

Regards,

Audioniek.

sadaghiani
01.05.2021, 22:29
Output file(s) created in /home/amir/buildsystem/flash/out:


total 47M
-rw-rw-r-- 1 24M May 1 13:25 hs7429_neutrino_builtin_flash_P217_CDK-rev1634_HAL-rev1628_NMP-rev13119-ddt.zip
-rw-rw-r-- 1 24M May 1 13:25 hs7429_neutrino_builtin_flash_R270130A0.ird
-rw-rw-r-- 1 33 May 1 13:25 hs7429_neutrino_builtin_flash_R270130A0.ird.md5
-------------------------------------------------------------------------------------------------------
thanks for the tips and tutorials

sadaghiani
01.05.2021, 23:00
Changelog vom 29.04.2021 (rev19200):
- Neutrino Version nun 3.9.0 (auch im GIT, thx Frankenstone)
.............................................
but this :
3.8.0
*********************************************
amir@ubuntu:~/Archive/neutrino-ddt.git$ ./upgrade_version.pl
Usage: upgrade_version.pl old_version new_version
old_verion=3.9.0

sadaghiani
02.05.2021, 18:32
Start build of libsigc 2.10.6.
Applying Patch: libsigc-2.10.6.patch
patching file configure.ac
Patching libsigc 2.10.6 completed.


./autogen.sh: line 5: mm-common-prepare: command not found
make[1]: *** No targets specified and no makefile found. Stop.
make: *** [make/contrib-libs.mk:1120: /home/amir/buildsystem/.deps/libsigc] Error 2

Audioniek
05.05.2021, 16:30
If you do a git pull and rerun prepare-for-bs.sh it will work again.

Regards,

Audioniek.

sadaghiani
05.05.2021, 21:37
hs7429 neutrino
----------------------
front display panel problem
-clock didnt show correct in standby
-channel name didnt show complete (all segments)

sadaghiani
12.05.2021, 17:01
hs7429
---------------------
Start build of python_netifaces 0.10.9.
tar: This does not look like a tar archive


gzip: stdin: unexpected end of file
tar: Child returned status 1
tar: Error is not recoverable: exiting now
make: *** [make/python.mk:761: /home/amir/buildsystem/.deps/python_netifaces] Error 2

sadaghiani
12.05.2021, 19:00
hs 7429
--------------------------
tart build of neutrino-hd2.
Update local git... done.
Checking out commit d2ec257482e841563ad8c29e1aa5253145e4bd21... done.
Applying Patch: /home/amir/buildsystem/patches/build-neutrino/nhd2-exp.patch
patching file acinclude.m4
patching file lib/libdvbsub/dvbsubtitle.cpp
can't find file to patch at input line 339
Perhaps you used the wrong -p or --strip option?
The text leading up to this was:
--------------------------
|--- nhd2-exp.org/lib/libeplayer3/container/container_ffmpeg.c
|+++ nhd2-exp/lib/libeplayer3/container/container_ffmpeg.c
|--- /home/ndv/abs-git/build_source/neutrino-hd2.git/nhd2-exp/lib/libeplayer3/container/container_ffmpeg.c.orig
|+++ /home/ndv/abs-git/build_source/neutrino-hd2.git/nhd2-exp/lib/libeplayer3/container/container_ffmpeg.c
--------------------------
File to patch:

sadaghiani
14.05.2021, 18:27
--- nhd2-exp.org/lib/libeplayer3/container/container_ffmpeg.c
|+++ nhd2-exp/lib/libeplayer3/container/container_ffmpeg.c
|--- /home/ndv/abs-git/build_source/neutrino-hd2.git/nhd2-exp/lib/libeplayer3/container/container_ffmpeg.c.orig
|+++ /home/ndv/abs-git/build_source/neutrino-hd2.git/nhd2-exp/lib/libeplayer3/container/container_ffmpeg.c
--------------------------
File to patch:
Skip this patch? [y]
Skipping patch.
29 out of 29 hunks ignored
patching file src/driver/rcinput.h
patching file src/driver/rcinput.cpp
patching file src/neutrino.h
patching file src/driver/vfd.h
patching file src/driver/vfd.cpp
patching file src/nhttpd/tuxboxapi/neutrinoyparser.cpp
patching file data/locale/english.locale
patching file src/gui/imageinfo.cpp
make: *** [make/neutrino.mk:467: /home/amir/buildsystem/.deps/neutrino-hd2.do_prepare] Error 1

sadaghiani
17.05.2021, 19:52
Start build of neutrino-hd2-plugin.
Applying Patch: /home/amir/buildsystem/patches/build-neutrino/nhd2-exp-plugins.patch
patching file acinclude.m4
Hunk #4 FAILED at 384.
Hunk #6 succeeded at 486 with fuzz 2.
Hunk #9 FAILED at 823.
Hunk #13 FAILED at 1112.
3 out of 13 hunks FAILED -- saving rejects to file acinclude.m4.rej
make: *** [make/neutrino-plugins.mk:229: /home/amir/buildsystem/.deps/neutrino-hd2-plugin.do_prepare] Error 1

sadaghiani
20.05.2021, 17:01
Start build of neutrino-hd2-plugin.
Applying Patch: /home/amir/buildsystem/patches/build-neutrino/nhd2-exp-plugins.patch
patching file acinclude.m4
Reversed (or previously applied) patch detected! Assume -R? [n] y
Hunk #4 FAILED at 340.
Hunk #5 succeeded at 412 (offset -6 lines).
Hunk #6 succeeded at 428 with fuzz 2 (offset -6 lines).
Hunk #7 succeeded at 611 (offset -6 lines).
Hunk #8 succeeded at 618 (offset -6 lines).
Hunk #9 FAILED at 703.
Hunk #10 succeeded at 795 (offset -24 lines).
Hunk #11 succeeded at 811 (offset -24 lines).
Hunk #12 succeeded at 959 (offset -24 lines).
Hunk #13 FAILED at 994.
3 out of 13 hunks FAILED -- saving rejects to file acinclude.m4.rej
make: *** [make/neutrino-plugins.mk:229: /home/amir/buildsystem/.deps/neutrino-hd2-plugin.do_prepare] Error 1

ainouna
21.05.2021, 11:54
bonjour sandjani
compile seulement neutrino sans plugins
ca marche
c'est la plus mauvaise neutrino
=========================================
hello sandjani
only compile neutrino without plugins
it works
this is the worst neutrino:mad:

Audioniek
21.05.2021, 17:38
If you do a git pull it should go gain.

Regards,

Audioniek.

clausi_e
22.05.2021, 18:08
E2 Spark7162 with default options doesnt build. It appairs following message:

make[3]: [Makefile:381: com_right.o] Error 127 (ignored)
I. -I../../lib -I../../lib -pipe -Os -ffunction-sections -fdata-sections -I/home/clausi/audio/buildsystem/tufsbox/cdkroot/usr/include -pipe -Os -ffunction-sections -fdata-sections -I/home/clausi/audio/buildsystem/tufsbox/cdkroot/usr/include @PTHREAD_CFLAGS@ -DHAVE_CONFIG_H -fPIC -shared -o elfshared/com_err.o -c com_err.c
make[3]: I.: Command not found
I. -I../../lib -I../../lib -pipe -Os -ffunction-sections -fdata-sections -I/home/clausi/audio/buildsystem/tufsbox/cdkroot/usr/include -pipe -Os -ffunction-sections -fdata-sections -I/home/clausi/audio/buildsystem/tufsbox/cdkroot/usr/include @PTHREAD_CFLAGS@ -DHAVE_CONFIG_H -fPIC -shared -o elfshared/com_right.o -c com_right.c
make[3]: I.: Command not found
make[3]: [Makefile:383: com_err.o] Error 127 (ignored)
make[3]: [Makefile:379: com_right.o] Error 127 (ignored)
(if test -r libcom_err.a; then /bin/rm -f libcom_err.a.bak && /bin/mv libcom_err.a libcom_err.a.bak; fi)
sh4-linux-ar rc libcom_err.a error_message.o et_name.o init_et.o com_err.o com_right.o
sh4-linux-ar: error_message.o: No such file or directory
make[3]: *** [Makefile:407: libcom_err.a] Error 1
make[2]: *** [Makefile:455: all-libs-recursive] Error 1
make[1]: *** [Makefile:370: all] Error 2
make: *** [make/contrib-apps.mk:331: /home/clausi/audio/buildsystem/.deps/e2fsprogs] Fehler 2

Would You please fix it ? Thank You very much. Greets Clausi_e

Audioniek
23.05.2021, 12:54
It will build again after a git pull and running sudo prepare-for-bs.sh.

Regards,

Audioniek.

sadaghiani
23.06.2021, 16:01
amir@ubuntu:~/buildsystem$ ./build
================================================== ============


Start build of host_mtd_utils 2.1.2.
Applying Patch: host-mtd-utils-2.1.2.patch
patching file configure.ac
Patching host_mtd_utils 2.1.2 completed.


configure: WARNING: unrecognized options: --disable-builddir
configure: WARNING: using cross tools not prefixed with host triplet
configure: WARNING: cannot find uuid library required for mkfs.ubifs
configure: WARNING: cannot find ZLIB library required for mkfs programs
configure: WARNING: cannot find LZO library required for mkfs programs
configure: WARNING: cannot find ZSTD library required for mkfs program
configure: WARNING: cannot find headers for extended attributes
configure: WARNING: disabling XATTR support
configure: WARNING: cannot find headers for OpenSSL library
configure: WARNING: disabling OpenSSL support
configure: WARNING: cannot find headers for getrandom() function
configure: WARNING: disabling UBIFS ubihealthd support
configure: error: missing one or more dependencies
make: *** [make/bootstrap.mk:100: /home/amir/buildsystem/.deps/host_mtd_utils] Error 1

sadaghiani
15.07.2021, 07:29
Build of host_u_boot_tools 1.3.1_stm24-9 completed.


make: *** No rule to make target '/home/amir/buildsystem/patches/build-neutrino/linux-stm-gpio-fix-build-CONFIG_BUG.patch', needed by '/home/amir/buildsystem/.deps/kernel.do_prepare'. Stop.

Audioniek
15.07.2021, 18:42
Hello sadaghiani,

I forgot to push a file, but this has meanwhile been fixed. Do a git pull, and things should go again.

Regards,

Audioniek.

clausi_e
25.07.2021, 10:40
Hallo, building for 7162 I got following message:


OpenSSL/crypto/crypto.c:673:16: warning: unused variable 'md_ctx' [-Wunused-variable]
OpenSSL/crypto/crypto.c: At top level:
OpenSSL/crypto/crypto.c:743 warning: 'locking_function' defined but not used [-Wunused-function]
static void locking_function(int mode, int n, const char * file, int line) {
^
error: command 'sh4-linux-gcc' failed with exit status 1
make: *** [make/python.mk:475: /home/clausi/audio/buildsystem/.deps/python_pyopenssl] Fehler 1
Thank You for Your help, git pull and ./prepare-for-bs.sh I did ... regards Clausi_e

Audioniek
25.07.2021, 22:44
Hello clausi_e,

I need some more info, as the part you show in your quote only shows two (non-fatal) warning, and my test environment builds openssl without problems.

Regards,

Audioniek.

clausi_e
26.07.2021, 17:54
Hallo, large part of the message:

Start build of python_cheetah 2.4.4.
warning: no files found matching 'examples'
warning: no files found matching 'docs'
warning: no files found matching 'bin'
warning: no files found matching '*' under directory 'docs'
warning: no files found matching '*' under directory 'examples'
warning: no previously-included files matching '*.pyc' found under directory 'cheetah'
warning: no previously-included files matching '*~' found under directory 'cheetah'
warning: no previously-included files matching '*.aux' found under directory 'cheetah'
warning: no previously-included files matching '*~' found under directory 'docs'
warning: no previously-included files matching '*.aux' found under directory 'docs'
--------------------------------------------------------------
Build of python_cheetah 2.4.4 completed.

================================================== ============

Start build of python_pyopenssl 0.13.1.
Applying Patch: python-pyopenssl-0.13.1.patch
patching file OpenSSL/crypto/crl.c
Patching python_pyopenssl 0.13.1 completed.

/home/clausi/audio/buildsystem/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'zip_safe'
warnings.warn(msg)
OpenSSL/crypto/crypto.c: In function 'crypto_sign':
OpenSSL/crypto/crypto.c:626:16: error: storage size of 'md_ctx' isn't known
EVP_MD_CTX md_ctx;
^
OpenSSL/crypto/crypto.c:626:16: warning: unused variable 'md_ctx' [-Wunused-variable]
OpenSSL/crypto/crypto.c: In function 'crypto_verify':
OpenSSL/crypto/crypto.c:673:16: error: storage size of 'md_ctx' isn't known
EVP_MD_CTX md_ctx;
^
OpenSSL/crypto/crypto.c:673:16: warning: unused variable 'md_ctx' [-Wunused-variable]
OpenSSL/crypto/crypto.c: At top level:
OpenSSL/crypto/crypto.c:743:13: warning: 'locking_function' defined but not used [-Wunused-function]
static void locking_function(int mode, int n, const char * file, int line) {
^
error: command 'sh4-linux-gcc' failed with exit status 1
make: *** [make/python.mk:475: /home/clausi/audio/buildsystem/.deps/python_pyopenssl] Fehler 1

greetings clausi_e

Audioniek
28.07.2021, 19:25
Thank you for the additional info. I think I have fixed it by upgrading pyopenssl to the most recent version. It least builds through now, but I have not tested the resulting image yet.

Regards,

Audioniek.

clausi_e
28.07.2021, 19:45
Thank You, now it works. Greets. Clausi_e

clausi_e
07.08.2021, 18:47
Building spark7162 E2 appairs following mesage:
/home/clausi/audio/buildsystem/tufsbox/cdkroot/usr/include/glcddrivers/driver.h:76 warning: unused parameter 'percent' [-Wunused-parameter]
virtual void SetBrightness(unsigned int percent) {}
^
/home/clausi/audio/buildsystem/tufsbox/cdkroot/usr/include/glcddrivers/driver.h:79 warning: unused parameter 'Feature' [-Wunused-parameter]
virtual bool SetFeature (const std::string & Feature, int value) { return false; }
^
/home/clausi/audio/buildsystem/tufsbox/cdkroot/usr/include/glcddrivers/driver.h:79 warning: unused parameter 'value' [-Wunused-parameter]
gdi/lcd.cpp:406:5: warning: unused parameter 'contrast' [-Wunused-parameter]
int eDBoxLCD::setLCDContrast(int contrast)
^
gdi/lcd.cpp:425 error: no 'eDBoxLCD* eDBoxLCD::getInstance()' member function declared in class 'eDBoxLCD'
eDBoxLCD *eDBoxLCD::getInstance()
^
gdi/stmfb.cpp:36 warning: unused parameter 'src_width' [-Wunused-parameter]
void stmfb_accel_blit(
^
gdi/stmfb.cpp:36 warning: unused parameter 'src_height' [-Wunused-parameter]
gdi/stmfb.cpp:36 warning: unused parameter 'src_format' [-Wunused-parameter]
gdi/stmfb.cpp:36 warning: unused parameter 'dst_width' [-Wunused-parameter]
gdi/stmfb.cpp:36 warning: unused parameter 'dst_height' [-Wunused-parameter]
gdi/stmfb.cpp:68 warning: unused parameter 'dst_addr' [-Wunused-parameter]
void stmfb_accel_fill(
^
gdi/stmfb.cpp:68 warning: unused parameter 'dst_width' [-Wunused-parameter]
gdi/stmfb.cpp:68 warning: unused parameter 'dst_height' [-Wunused-parameter]
gdi/stmfb.cpp:68 warning: unused parameter 'dst_stride' [-Wunused-parameter]
gdi/stmfb.cpp:68 warning: unused parameter 'x' [-Wunused-parameter]
gdi/stmfb.cpp:68 warning: unused parameter 'y' [-Wunused-parameter]
gdi/stmfb.cpp:68 warning: unused parameter 'width' [-Wunused-parameter]
gdi/stmfb.cpp:68 warning: unused parameter 'height' [-Wunused-parameter]
gdi/stmfb.cpp:68 warning: unused parameter 'color' [-Wunused-parameter]
make[5]: *** [Makefile:2198: gdi/libenigma_gdi_a-lcd.o] Error 1
make[5]: *** Waiting for unfinished jobs....
make[4]: *** [Makefile:2875: all-recursive] Error 1
make[3]: *** [Makefile:1211: all] Error 2
make[2]: *** [Makefile:544: all-recursive] Error 1
make[1]: *** [Makefile:430: all] Error 2
make: *** [make/enigma2.mk:202: /home/clausi/audio/buildsystem/.deps/enigma2.do_compile] Fehler 2

I hope its enough to fix it. Thank You and greets Clausi

clausi_e
08.08.2021, 17:15
I tested to build 7162 standard options and lcd4linux and got this message:
Traceback (most recent call last):
File "./setup.py", line 121, in <module>
"src/_cffi_src/build_padding.py:ffi",
File "/home/michael/buildsystem/tufsbox/host/lib/python2.7/distutils/core.py", line 111, in setup
_setup_distribution = dist = klass(attrs)
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/setuptools/dist.py", line 272, in __init__
_Distribution.__init__(self,attrs)
File "/home/michael/buildsystem/tufsbox/host/lib/python2.7/distutils/dist.py", line 287, in __init__
self.finalize_options()
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/setuptools/dist.py", line 327, in finalize_options
ep.load()(self, ep.name, value)
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 219, in cffi_modules
add_cffi_module(dist, cffi_module)
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 49, in add_cffi_module
execfile(build_file_name, mod_vars)
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 25, in execfile
exec(code, glob, glob)
File "src/_cffi_src/build_openssl.py", line 120, in <module>
extra_link_args=extra_link_args(compiler_type()),
File "./src/_cffi_src/utils.py", line 60, in build_ffi_for_binding
extra_link_args=extra_link_args,
File "./src/_cffi_src/utils.py", line 74, in build_ffi
ffi = FFI()
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/api.py", line 48, in __init__
import _cffi_backend as backend
ImportError: /home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/_cffi_backend.so: undefined symbol: Py_InitModule4
make/python.mk:463: recipe for target '/home/michael/buildsystem/.deps/python_cryptography' failed
make: *** [/home/michael/buildsystem/.deps/python_cryptography] Error 1
Thank You and greets

Audioniek
14.08.2021, 21:04
Hello Clausi_e,

I gave it a try, and the build succeeds succesfully for E2, Spark7162 and lcd4linux enabled. I recently fixed things with python_cffi to get the webif working again. First try a git pull or make update would be my suggestion.

Regards,

Audioniek.

clausi_e
15.08.2021, 10:58
Hallo, I tested it on Ubuntu 64 and Ubuntu Mint, 7162 standard settings except Lcd4linux, did sudo ./prepare-for-bs.sh, make update and clean , ubuntu updated. Following message:

Start build of python_cryptography 3.3.1.
/home/michael/buildsystem/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'python_requires'
warnings.warn(msg)
/home/michael/buildsystem/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'long_description_content_type'
warnings.warn(msg)

=============================DEBUG ASSISTANCE=============================
If you are seeing a compilation error please try the following steps to
successfully install cryptography:
1) Upgrade to the latest pip and try again. This will fix errors for most
users. See: https://pip.pypa.io/en/stable/installing/#upgrading-pip
2) Read https://cryptography.io/en/latest/installation.html for specific
instructions for your platform.
3) Check our frequently asked questions for more information:
https://cryptography.io/en/latest/faq.html
=============================DEBUG ASSISTANCE=============================

Traceback (most recent call last):
File "./setup.py", line 121, in <module>
"src/_cffi_src/build_padding.py:ffi",
File "/home/michael/buildsystem/tufsbox/host/lib/python2.7/distutils/core.py", line 111, in setup
_setup_distribution = dist = klass(attrs)
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/setuptools/dist.py", line 272, in __init__
_Distribution.__init__(self,attrs)
File "/home/michael/buildsystem/tufsbox/host/lib/python2.7/distutils/dist.py", line 287, in __init__
self.finalize_options()
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/setuptools/dist.py", line 327, in finalize_options
ep.load()(self, ep.name, value)
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 219, in cffi_modules
add_cffi_module(dist, cffi_module)
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 49, in add_cffi_module
execfile(build_file_name, mod_vars)
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 25, in execfile
exec(code, glob, glob)
File "src/_cffi_src/build_openssl.py", line 120, in <module>
extra_link_args=extra_link_args(compiler_type()),
File "./src/_cffi_src/utils.py", line 60, in build_ffi_for_binding
extra_link_args=extra_link_args,
File "./src/_cffi_src/utils.py", line 74, in build_ffi
ffi = FFI()
File "/home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/api.py", line 48, in __init__
import _cffi_backend as backend
ImportError: /home/michael/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/_cffi_backend.so: undefined symbol: Py_InitModule4
make/python.mk:463: recipe for target '/home/michael/buildsystem/.deps/python_cryptography' failed
make: *** [/home/michael/buildsystem/.deps/python_cryptography] Error 1

Thank you for Your help. Greets Clausi_e

Audioniek
16.08.2021, 17:28
Hello clausi_e,

Are you sure you have rebuilt all python modules? If not, delete all files beginning with python in X/.deps.

After a git pull everything builds OK with my setup:


Build of python_cffi 1.14.6 completed.

================================================== ============

Start build of python_enum34 1.0.4.
/home/ndv/abs-ufs922/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'install_requires'
warnings.warn(msg)
/home/ndv/abs-ufs922/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'install_requires'
warnings.warn(msg)
--------------------------------------------------------------
Build of python_enum34 1.0.4 completed.

================================================== ============

Start build of python_cryptography 3.3.1.
/home/ndv/abs-ufs922/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'python_requires'
warnings.warn(msg)
/home/ndv/abs-ufs922/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'long_description_content_type'
warnings.warn(msg)
no previously-included directories found matching 'docs/_build'
warning: no previously-included files found matching 'vectors'
warning: no previously-included files matching '*' found under directory 'vectors'
warning: no previously-included files matching '*' found under directory '.github'
warning: no previously-included files found matching 'release.py'
warning: no previously-included files found matching '.coveragerc'
warning: no previously-included files found matching 'codecov.yml'
warning: no previously-included files found matching '.readthedocs.yml'
warning: no previously-included files found matching 'dev-requirements.txt'
warning: no previously-included files found matching 'rtd-requirements.txt'
warning: no previously-included files found matching 'tox.ini'
warning: no previously-included files matching '*' found under directory '.zuul.d'
warning: no previously-included files matching '*' found under directory '.zuul.playbooks'
build/temp.linux-i686-2.7/_openssl.c: In function 'Cryptography_pem_password_cb':
build/temp.linux-i686-2.7/_openssl.c:2385:37: warning: conversion to 'size_t' from 'int' may change the sign of the result [-Wsign-conversion]
memcpy(buf, st->password, st->length);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_ASN1_STRING_data':
build/temp.linux-i686-2.7/_openssl.c:9064:3: warning: 'ASN1_STRING_data' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/asn1.h:554) [-Wdeprecated-declarations]
return ASN1_STRING_data(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_ASN1_STRING_data':
build/temp.linux-i686-2.7/_openssl.c:9087:3: warning: 'ASN1_STRING_data' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/asn1.h:554) [-Wdeprecated-declarations]
{ result = ASN1_STRING_data(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_BIO_s_datagram':
build/temp.linux-i686-2.7/_openssl.c:10218:3: warning: return discards 'const' qualifier from pointer target type [enabled by default]
return BIO_s_datagram();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_BIO_s_datagram':
build/temp.linux-i686-2.7/_openssl.c:10229:12: warning: assignment discards 'const' qualifier from pointer target type [enabled by default]
{ result = BIO_s_datagram(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_BIO_s_mem':
build/temp.linux-i686-2.7/_openssl.c:10244:3: warning: return discards 'const' qualifier from pointer target type [enabled by default]
return BIO_s_mem();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_BIO_s_mem':
build/temp.linux-i686-2.7/_openssl.c:10255:12: warning: assignment discards 'const' qualifier from pointer target type [enabled by default]
{ result = BIO_s_mem(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_DTLSv1_client_method':
build/temp.linux-i686-2.7/_openssl.c:15360:3: warning: 'DTLSv1_client_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1897) [-Wdeprecated-declarations]
return DTLSv1_client_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_DTLSv1_client_method':
build/temp.linux-i686-2.7/_openssl.c:15371:3: warning: 'DTLSv1_client_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1897) [-Wdeprecated-declarations]
{ result = DTLSv1_client_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_DTLSv1_method':
build/temp.linux-i686-2.7/_openssl.c:15424:3: warning: 'DTLSv1_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1895) [-Wdeprecated-declarations]
return DTLSv1_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_DTLSv1_method':
build/temp.linux-i686-2.7/_openssl.c:15435:3: warning: 'DTLSv1_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1895) [-Wdeprecated-declarations]
{ result = DTLSv1_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_DTLSv1_server_method':
build/temp.linux-i686-2.7/_openssl.c:15450:3: warning: 'DTLSv1_server_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1896) [-Wdeprecated-declarations]
return DTLSv1_server_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_DTLSv1_server_method':
build/temp.linux-i686-2.7/_openssl.c:15461:3: warning: 'DTLSv1_server_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1896) [-Wdeprecated-declarations]
{ result = DTLSv1_server_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_OCSP_resp_get0_certs':
build/temp.linux-i686-2.7/_openssl.c:26062:3: warning: return discards 'const' qualifier from pointer target type [enabled by default]
return OCSP_resp_get0_certs(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_OCSP_resp_get0_certs':
build/temp.linux-i686-2.7/_openssl.c:26085:12: warning: assignment discards 'const' qualifier from pointer target type [enabled by default]
{ result = OCSP_resp_get0_certs(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_OPENSSL_config':
build/temp.linux-i686-2.7/_openssl.c:26550:3: warning: 'OPENSSL_config' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/conf.h:91) [-Wdeprecated-declarations]
OPENSSL_config(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_OPENSSL_config':
build/temp.linux-i686-2.7/_openssl.c:26571:3: warning: 'OPENSSL_config' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/conf.h:91) [-Wdeprecated-declarations]
{ OPENSSL_config(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_CTX_add_extra_chain_cert':
build/temp.linux-i686-2.7/_openssl.c:31441:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_add_extra_chain_cert(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_CTX_add_extra_chain_cert':
build/temp.linux-i686-2.7/_openssl.c:31479:26: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_add_extra_chain_cert(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_CTX_clear_mode':
build/temp.linux-i686-2.7/_openssl.c:31620:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_clear_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c:31620:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_clear_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_CTX_clear_mode':
build/temp.linux-i686-2.7/_openssl.c:31653:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_clear_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c:31653:26: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_clear_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_CTX_get_mode':
build/temp.linux-i686-2.7/_openssl.c:32109:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_get_mode(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_CTX_get_mode':
build/temp.linux-i686-2.7/_openssl.c:32132:26: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_get_mode(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_CTX_get_session_cache_mode':
build/temp.linux-i686-2.7/_openssl.c:32223:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_get_session_cache_mode(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_CTX_get_session_cache_mode':
build/temp.linux-i686-2.7/_openssl.c:32246:26: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_get_session_cache_mode(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_CTX_set_mode':
build/temp.linux-i686-2.7/_openssl.c:33948:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_set_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c:33948:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_set_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_CTX_set_mode':
build/temp.linux-i686-2.7/_openssl.c:33981:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_set_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c:33981:26: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_set_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_CTX_set_session_cache_mode':
build/temp.linux-i686-2.7/_openssl.c:34230:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_set_session_cache_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c:34230:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_set_session_cache_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_CTX_set_session_cache_mode':
build/temp.linux-i686-2.7/_openssl.c:34263:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_set_session_cache_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c:34263:26: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_set_session_cache_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_CTX_set_tmp_dh':
build/temp.linux-i686-2.7/_openssl.c:34636:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_set_tmp_dh(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_CTX_set_tmp_dh':
build/temp.linux-i686-2.7/_openssl.c:34674:26: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_set_tmp_dh(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_CTX_set_tmp_ecdh':
build/temp.linux-i686-2.7/_openssl.c:34689:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_CTX_set_tmp_ecdh(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_CTX_set_tmp_ecdh':
build/temp.linux-i686-2.7/_openssl.c:34727:26: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_CTX_set_tmp_ecdh(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_SESSION_get_ticket_lifetime_hint':
build/temp.linux-i686-2.7/_openssl.c:35356:46: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
return SSL_SESSION_get_ticket_lifetime_hint(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_SESSION_get_ticket_lifetime_hint':
build/temp.linux-i686-2.7/_openssl.c:35379:50: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_SESSION_get_ticket_lifetime_hint(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_clear_mode':
build/temp.linux-i686-2.7/_openssl.c:35567:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
return SSL_clear_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c:35567:18: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_clear_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_clear_mode':
build/temp.linux-i686-2.7/_openssl.c:35600:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_clear_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c:35600:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_clear_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_get_mode':
build/temp.linux-i686-2.7/_openssl.c:36553:18: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_get_mode(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_get_mode':
build/temp.linux-i686-2.7/_openssl.c:36576:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_get_mode(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_SSL_set_mode':
build/temp.linux-i686-2.7/_openssl.c:38367:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
return SSL_set_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c:38367:18: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
return SSL_set_mode(x0, x1);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_SSL_set_mode':
build/temp.linux-i686-2.7/_openssl.c:38400:3: warning: conversion to 'long int' from 'long unsigned int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_set_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c:38400:22: warning: conversion to 'long unsigned int' from 'long int' may change the sign of the result [-Wsign-conversion]
{ result = SSL_set_mode(x0, x1); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_1_client_method':
build/temp.linux-i686-2.7/_openssl.c:39504:3: warning: 'TLSv1_1_client_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1885) [-Wdeprecated-declarations]
return TLSv1_1_client_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_1_client_method':
build/temp.linux-i686-2.7/_openssl.c:39515:3: warning: 'TLSv1_1_client_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1885) [-Wdeprecated-declarations]
{ result = TLSv1_1_client_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_1_method':
build/temp.linux-i686-2.7/_openssl.c:39530:3: warning: 'TLSv1_1_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1883) [-Wdeprecated-declarations]
return TLSv1_1_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_1_method':
build/temp.linux-i686-2.7/_openssl.c:39541:3: warning: 'TLSv1_1_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1883) [-Wdeprecated-declarations]
{ result = TLSv1_1_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_1_server_method':
build/temp.linux-i686-2.7/_openssl.c:39556:3: warning: 'TLSv1_1_server_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1884) [-Wdeprecated-declarations]
return TLSv1_1_server_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_1_server_method':
build/temp.linux-i686-2.7/_openssl.c:39567:3: warning: 'TLSv1_1_server_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1884) [-Wdeprecated-declarations]
{ result = TLSv1_1_server_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_2_client_method':
build/temp.linux-i686-2.7/_openssl.c:39582:3: warning: 'TLSv1_2_client_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1891) [-Wdeprecated-declarations]
return TLSv1_2_client_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_2_client_method':
build/temp.linux-i686-2.7/_openssl.c:39593:3: warning: 'TLSv1_2_client_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1891) [-Wdeprecated-declarations]
{ result = TLSv1_2_client_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_2_method':
build/temp.linux-i686-2.7/_openssl.c:39608:3: warning: 'TLSv1_2_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1889) [-Wdeprecated-declarations]
return TLSv1_2_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_2_method':
build/temp.linux-i686-2.7/_openssl.c:39619:3: warning: 'TLSv1_2_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1889) [-Wdeprecated-declarations]
{ result = TLSv1_2_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_2_server_method':
build/temp.linux-i686-2.7/_openssl.c:39634:3: warning: 'TLSv1_2_server_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1890) [-Wdeprecated-declarations]
return TLSv1_2_server_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_2_server_method':
build/temp.linux-i686-2.7/_openssl.c:39645:3: warning: 'TLSv1_2_server_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1890) [-Wdeprecated-declarations]
{ result = TLSv1_2_server_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_client_method':
build/temp.linux-i686-2.7/_openssl.c:39660:3: warning: 'TLSv1_client_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1879) [-Wdeprecated-declarations]
return TLSv1_client_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_client_method':
build/temp.linux-i686-2.7/_openssl.c:39671:3: warning: 'TLSv1_client_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1879) [-Wdeprecated-declarations]
{ result = TLSv1_client_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_method':
build/temp.linux-i686-2.7/_openssl.c:39686:3: warning: 'TLSv1_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1877) [-Wdeprecated-declarations]
return TLSv1_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_method':
build/temp.linux-i686-2.7/_openssl.c:39697:3: warning: 'TLSv1_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1877) [-Wdeprecated-declarations]
{ result = TLSv1_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_TLSv1_server_method':
build/temp.linux-i686-2.7/_openssl.c:39712:3: warning: 'TLSv1_server_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1878) [-Wdeprecated-declarations]
return TLSv1_server_method();
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_TLSv1_server_method':
build/temp.linux-i686-2.7/_openssl.c:39723:3: warning: 'TLSv1_server_method' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/ssl.h:1878) [-Wdeprecated-declarations]
{ result = TLSv1_server_method(); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_X509_CRL_get_lastUpdate':
build/temp.linux-i686-2.7/_openssl.c:40948:3: warning: 'X509_CRL_get_lastUpdate' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/x509.h:730) [-Wdeprecated-declarations]
return X509_CRL_get_lastUpdate(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_X509_CRL_get_lastUpdate':
build/temp.linux-i686-2.7/_openssl.c:40971:3: warning: 'X509_CRL_get_lastUpdate' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/x509.h:730) [-Wdeprecated-declarations]
{ result = X509_CRL_get_lastUpdate(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_d_X509_CRL_get_nextUpdate':
build/temp.linux-i686-2.7/_openssl.c:40986:3: warning: 'X509_CRL_get_nextUpdate' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/x509.h:731) [-Wdeprecated-declarations]
return X509_CRL_get_nextUpdate(x0);
^
build/temp.linux-i686-2.7/_openssl.c: In function '_cffi_f_X509_CRL_get_nextUpdate':
build/temp.linux-i686-2.7/_openssl.c:41009:3: warning: 'X509_CRL_get_nextUpdate' is deprecated (declared at /home/ndv/abs-ufs922/tufsbox/cdkroot/usr/include/openssl/x509.h:731) [-Wdeprecated-declarations]
{ result = X509_CRL_get_nextUpdate(x0); }
^
build/temp.linux-i686-2.7/_openssl.c: At top level:
build/temp.linux-i686-2.7/_openssl.c:777:13: warning: 'ERR_load_Cryptography_OSRandom_strings' declared 'static' but never defined [-Wunused-function]
static void ERR_load_Cryptography_OSRandom_strings(void);
^
build/temp.linux-i686-2.7/_openssl.c:778:13: warning: 'ERR_Cryptography_OSRandom_error' declared 'static' but never defined [-Wunused-function]
static void ERR_Cryptography_OSRandom_error(int function, int reason,
^
/home/ndv/abs-ufs922/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'python_requires'
warnings.warn(msg)
/home/ndv/abs-ufs922/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'long_description_content_type'
warnings.warn(msg)
no previously-included directories found matching 'docs/_build'
warning: no previously-included files found matching 'vectors'
warning: no previously-included files matching '*' found under directory 'vectors'
warning: no previously-included files matching '*' found under directory '.github'
warning: no previously-included files found matching 'release.py'
warning: no previously-included files found matching '.coveragerc'
warning: no previously-included files found matching 'codecov.yml'
warning: no previously-included files found matching '.readthedocs.yml'
warning: no previously-included files found matching 'dev-requirements.txt'
warning: no previously-included files found matching 'rtd-requirements.txt'
warning: no previously-included files found matching 'tox.ini'
warning: no previously-included files matching '*' found under directory '.zuul.d'
warning: no previously-included files matching '*' found under directory '.zuul.playbooks'
--------------------------------------------------------------
Build of python_cryptography 3.3.1 completed.

================================================== ============

Start build of python_pyopenssl 20.0.1.

/home/ndv/abs-ufs922/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'python_requires'
warnings.warn(msg)
/home/ndv/abs-ufs922/tufsbox/host/lib/python2.7/distutils/dist.py:267: UserWarning: Unknown distribution option: 'python_requires'
warnings.warn(msg)
warning: no previously-included files found matching 'codecov.yml'
no previously-included directories found matching 'doc/_build'
no previously-included directories found matching '.travis'
--------------------------------------------------------------
Build of python_pyopenssl 20.0.1 completed.

================================================== ============

Start build of enigma2_openwebif.


Granted, the build of cryptography spits out a lot of warnings, but the OpenWebif that uses it works OK on my testboxes; however the version used (3.3.1) is the last that supports Python2.

Regards,

Audioniek.

clausi_e
16.08.2021, 22:13
It doesn `t work. After updating pip ver. 2.7 the following message:
=============================DEBUG ASSISTANCE=============================
If you are seeing a compilation error please try the following steps to
successfully install cryptography:
1) Upgrade to the latest pip and try again. This will fix errors for most
users. See: https://pip.pypa.io/en/stable/installing/#upgrading-pip
2) Read https://cryptography.io/en/latest/installation.html for specific
instructions for your platform.
3) Check our frequently asked questions for more information:
https://cryptography.io/en/latest/faq.html
=============================DEBUG ASSISTANCE=============================

Traceback (most recent call last):
File "./setup.py", line 121, in <module>
"src/_cffi_src/build_padding.py:ffi",
File "/home/clausi/audioniek/buildsystem/tufsbox/host/lib/python2.7/distutils/core.py", line 111, in setup
_setup_distribution = dist = klass(attrs)
File "/home/clausi/audioniek/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/setuptools/dist.py", line 272, in __init__
_Distribution.__init__(self,attrs)
File "/home/clausi/audioniek/buildsystem/tufsbox/host/lib/python2.7/distutils/dist.py", line 287, in __init__
self.finalize_options()
File "/home/clausi/audioniek/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/setuptools/dist.py", line 327, in finalize_options
ep.load()(self, ep.name, value)
File "/home/clausi/audioniek/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 219, in cffi_modules
add_cffi_module(dist, cffi_module)
File "/home/clausi/audioniek/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 49, in add_cffi_module
execfile(build_file_name, mod_vars)
File "/home/clausi/audioniek/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/setuptools_ext.py", line 25, in execfile
exec(code, glob, glob)
File "src/_cffi_src/build_openssl.py", line 120, in <module>
extra_link_args=extra_link_args(compiler_type()),
File "./src/_cffi_src/utils.py", line 60, in build_ffi_for_binding
extra_link_args=extra_link_args,
File "./src/_cffi_src/utils.py", line 74, in build_ffi
ffi = FFI()
File "/home/clausi/audioniek/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/cffi/api.py", line 48, in __init__
import _cffi_backend as backend
ImportError: /home/clausi/audioniek/buildsystem/tufsbox/cdkroot/usr/lib/python2.7/site-packages/_cffi_backend.so: undefined symbol: Py_InitModule4
make: *** [make/python.mk:466: /home/clausi/audioniek/buildsystem/.deps/python_cryptography] Fehler 1

Its for me time to give up. I reinstalled git , doesn t work. Greets Clausi_e

Audioniek
17.08.2021, 17:59
Hello Clausi_e,

When I did search for py_initmodule4 I stumbled on this text in a result:

Apparently on 64-bit linux, in libpython2.[56].so, the symbol is renamed to Py_InitModule4_64.

I still build with a 32-bit Linux and realise that these are becoming outdated more and more. The reason for doing this is that the SH4 environment is 32 bit, and especially with python you need to compile the SH4 parts on a machine having the same python version installed as you are using on the receiver. As somebody has had the wisdom to use different symbol names for the same thing on 32- and 64-bit environments, this may well explain your problem if you are using a 64-bit Linux as your OS.

It may therefore well be that my build succeeds because I am building on Linux Mint 18.3 32-bit, thus avoiding this puzzle by accident. In the past I have had several problems with building on 64-bit machines, and since then I have stuck with 32-bit to avoid them. As stated more and more distributions are only offering 64-bits versions, so in the future a solution for this puzzle must be found, although it may also be possible that the problem will solve itself when OpenPLi finally switches to Python3, as Python is now more than a year end-of-life.

Regards,

Audioniek.

clausi_e
18.08.2021, 20:08
I build it with Linuxmint 19 32 Bit, and it works. Let it me know, if 64 Bit will work Thanks ! Clausi_e

sadaghiani
17.09.2021, 15:38
hs7429
***********
neutrino 3.9.0 = ok
scrolling front panel = didnt work

Audioniek
24.09.2021, 15:56
@sadaghiani
Has been fixed. Do a git pull and rebuild.

Regards,

Audioniek.

sadaghiani
11.02.2022, 15:12
Start build of driver.
make -j3 -C /home/amir/buildsystem/build_tmp/linux-sh4-2.6.32.71_stm24_0217 ARCH=sh CONFIG_DEBUG_SECTION_MISMATCH=y \
CONFIG_MODULES_PATH=/home/amir/buildsystem/tufsbox/cross/target \
KERNEL_LOCATION=/home/amir/buildsystem/build_tmp/linux-sh4-2.6.32.71_stm24_0217 \
DRIVER_TOPDIR=/home/amir/buildsystem/driver \
M=/home/amir/buildsystem/driver \
WLANDRIVER=wlandriver HS7429=hs7429 \
CROSS_COMPILE=sh4-linux- \
modules
CC [M] /home/amir/buildsystem/driver/pti/pti_main.o
CC [M] /home/amir/buildsystem/driver/smartcard/sci_drv.o
CC [M] /home/amir/buildsystem/driver/smartcard/sci_params.o
CC [M] /home/amir/buildsystem/driver/smartcard/atr.o
/home/amir/buildsystem/driver/pti/pti_main.c:940:8: warning: 'enum ca_descr_algo' declared inside parameter list [enabled by default]
enum ca_descr_algo algo )
^
/home/amir/buildsystem/driver/pti/pti_main.c:940:8: warning: its scope is only this definition or declaration, which is probably not what you want [enabled by default]
/home/amir/buildsystem/driver/pti/pti_main.c:940:22: error: parameter 3 ('algo') has incomplete type
enum ca_descr_algo algo )
^
/home/amir/buildsystem/driver/pti/pti_main.c:939:5: warning: function declaration isn't a prototype [-Wstrict-prototypes]
int pti_hal_descrambler_set_mode ( int session_handle, int descrambler_handle,
^
make[3]: *** [scripts/Makefile.build:230: /home/amir/buildsystem/driver/pti/pti_main.o] Error 1
make[2]: *** [scripts/Makefile.build:365: /home/amir/buildsystem/driver/pti] Error 2
make[2]: *** Waiting for unfinished jobs....
LD [M] /home/amir/buildsystem/driver/smartcard/smartcard.o
make[1]: *** [Makefile:1429: _module_/home/amir/buildsystem/driver] Error 2
make: *** [make/driver.mk:20: /home/amir/buildsystem/.deps/driver] Error 2

Audioniek
17.02.2022, 17:53
I forgot to conditionalize some Power VU DES stuff in pti. Do a git pull in driver and it should build again.

Regards,
Audioniek.

turulbird
23.02.2022, 18:34
Hi!

E2 UFS910 usb build error?:


Start build of enigma2_openwebif.
Applying Patch: build-enigma2/enigma2-openwebif.patch
patching file plugin/controllers/models/info.py
patching file plugin/controllers/models/owibranding.py
Hunk #2 FAILED at 182.
Hunk #3 succeeded at 307 (offset 3 lines).
Hunk #4 succeeded at 402 (offset 3 lines).
Hunk #5 succeeded at 594 (offset 5 lines).
1 out of 5 hunks FAILED -- saving rejects to file plugin/controllers/models/owibranding.py.rej
make: *** [make/enigma2-plugins.mk:131: /home/turulbird/sh4-build_Audioniek/buildsystem/.deps/enigma2_openwebif] Error 1

:confused:


New 2022 UFS 910 neutrino usb image in my homepage!

:36_7_15:

turulbird
01.03.2022, 18:05
Thanks webif update!
Build ok, no error.
But E2 usb nothing run:


INIT: version booting
[rcS] Start
Kathrein UFS910 Enigma2
[rcS] Init frontpanel
[rcS] Wait 1 minute
[mountvirtfs] Mount /dev in tmpfs
[mountvirtfs] Copying device nodes to /dev
[rcS] Starting DEBUG Interface
[mountall] Mounting local filesystems...
mount: mounting LABEL=RECORD on /hdd failed: No such file or directory
Cleaning /tmp /var/run /var/lock.
Hostname: ufs910.
Starting automounter: /media/usb.
[rcS] Starting telnetd with autologin
[rcS] Init stmfb (LOAD 9)
[rcS] Loading modules
[rcS] Load video firmware (LOAD 8)
[rcS] Load audio firmware (LOAD 7)
[rcS] Init embx (LOAD 6)
[rcS] Init AVS (LOAD 5)
[rcS] Init player 191 (LOAD 4)
insmod: error inserting '/lib/modules/ksound.ko': -1 Invalid module format
[rcS] Init frontend and CI
insmod: error inserting '/lib/modules/pti.ko': -1 Unknown symbol in module
[rcS] Simple pti
[rcS] Init player2 (LOAD 3)
insmod: error inserting '/lib/modules/player2.ko': -1 Unknown symbol in module
insmod: error inserting '/lib/modules/sth264pp.ko': -1 Unknown symbol in module
insmod: error inserting '/lib/modules/stmalloc.ko': -1 Unknown symbol in module
insmod: error inserting '/lib/modules/exportfs.ko': -1 Invalid module format
[showiframe] showSinglePic /boot/bootlogo.mvi
insmod: error inserting '/lib/modules/ntfs.ko': -1 Device or resource busy
[showiframe] VIDEO_SELECT_SOURCE MEMORY (Success)
[showiframe] VIDEO_PLAY (No such device)
[showiframe] VIDEO_CONTINUE: (No such device)
[showiframe] VIDEO_CLEAR_BUFFER: (Invalid argument)
[rcS] Init frontpanel (LOAD 2)
[rcS] Boxtype: 1W
[rcS] Init remote control
[evremote2] Model: 'ufs910'
[evremote2] vBoxType: 1 (ufs910)
[evremote2] Input device name: "TDT RC event driver"
[evremote2] Remote selected: Kathrein UFS910 (1W) RemoteControl
[evremote2] RemoteControl Map:
Keyname Keyword KeyCode
--------------------------------------
MUTE - 0D - 113
POWER - 0C - 116
1 - 01 - 2
2 - 02 - 3
3 - 03 - 4
4 - 04 - 5
5 - 05 - 6
6 - 06 - 7
7 - 07 - 8
8 - 08 - 9
9 - 09 - 10
MENU - 54 - 139
0 - 00 - 11
TEXT - 3C - 388
RED - 6D - 398
GREEN - 6E - 399
YELLOW - 6F - 400
BLUE - 70 - 401
VOLUMEUP - 10 - 115
INFO - 0F - 358
CHANNELUP - 1E - 402
VOLUMEDOWN - 11 - 114
UP - 58 - 103
CHANNELDOWN - 1F - 403
LEFT - 5A - 105
OK - 5C - 352
RIGHT - 5B - 106
EXIT - 55 - 174
DOWN - 59 - 108
EPG - 4C - 365
REWIND - 21 - 168
PLAY - 38 - 207
FASTFORWARD - 20 - 208
PAUSE - 39 - 119
RECORD - 37 - 167
STOP - 31 - 128
VFORMAT_FRONT - 4A - 227
MENU_FRONT - 49 - 139
EXIT_FRONT - 4B - 174
STANDBY_FRONT - 48 - 116
OPTIONS_FRONT - 47 - 138
LMUTE - 8D - 113
LSTANDBY - 8C - 116
L1 - 81 - 2
L2 - 82 - 3
L3 - 83 - 4
L4 - 84 - 5
L5 - 85 - 6
L6 - 86 - 7
L7 - 87 - 8
L8 - 88 - 9
L9 - 89 - 10
LMENU - D4 - 139
L0 - 80 - 11
LTEXT - BC - 388
LRED - ED - 398
LGREEN - EE - 399
LYELLOW - EF - 400
LBLUE - F0 - 401
LVOLUMEUP - 90 - 115
LINFO - 8F - 358
LCHANNELUP - 9E - 402
LVOLUMEDOWN - 91 - 114
LUP - D8 - 103
LCHANNELDOWN - 9F - 403
LLEFT - DA - 105
LOK - DC - 352
LRIGHT - DB - 106
LEXIT - D5 - 174
LDOWN - D9 - 108
LEPG - CC - 365
LREWIND - A1 - 168
LPLAY - B8 - 207
LFASTFORWARD - A0 - 208
LPAUSE - B9 - 119
LRECORD - B7 - 167
LSTOP - B1 - 128
--------------------------------------
[evremote2] Supports Long KeyPress: yes
[evremote2 ufs910_1w] Setting new flags
[evremote2] Using period = 106 delay = 20
Deconfiguring network interfaces... ifdown: interface lo not configured
ifdown: interface eth0 not configured
ifdown: interface eth1 not configured
ifdown: interface wlan0 not configured
done.
[rcS] Starting DHCP
Starting DHCP client: udhcpcudhcpc: started, v1.34.1
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
failed...
udhcpc: no lease, failing
already running.
Setting up IP spoofing protection: rp_filter.
Configuring network interfaces... ip: RTNETLINK answers: File exists
udhcpc: started, v1.34.1
udhcpc: broadcasting discover
udhcpc: broadcasting discover
udhcpc: broadcasting discover
failed...
udhcpc: no lease, failing
ip: SIOCGIFFLAGS: No such device
ip: SIOCGIFFLAGS: No such device
done.
[rcS] Init WLAN: None.
[rcS] Do extras (LOAD 1)
[rcS] No inadyn
[rcS] No OpenSSH
[rcS] Try and synchronise time
[rcS] Set internet time
[rcS] Init portmap & ftp
ntpd: bad address '0.europe.pool.ntp.org'
Starting portmap daemon....
Starting FTP server: vsftpd.
[rcS] Loading Enigma2
Unable to open framebuffer: No such device
[rcS] Starting Enigma2 ->
ntpd: bad address '0.europe.pool.ntp.org'
PYTHONPATH: /usr/lib/enigma2/python
DVB_API_VERSION 5 DVB_API_VERSION_MINOR 3
ENIGMA_DEBUG_LVL=3
/dev/fb0: No such device
[gFBDC] no framebuffer available
Segmentation fault
[rcS] E2 ended <- return value: 139
usage: not implemented
General usage:

fp_control argument [optarg1] [optarg2]
-i --setIcon Args: icon on
Set an icon on or off
Options marked * should be the only calling argument.
Time and date arguments must be in local time.
[rcS] ERROR
INIT: Switching to runlevel: 6
INIT: Sending processes configured via /etc/inittab the TERM signal
Sending all processes the TERM signal...done.
Sending all processes the KILL signal...done.
Deactivating swap...umount: tmpfs busy - remounted read-only
done.
Unmounting local filesystems...umount: tmpfs busy - remounted read-only
done.
Rebooting...

turulbird
03.03.2022, 23:33
Hi!

UFS 910 E2 flash minimal build ok, but NO USB STICK on the VFD the second reboot:


16515072 bytes read
.................................................. .................................................. .................................................. .................................................. .................................................. ..Erased 252 sectors
Copy to Flash... .................................................. .................................................. ..........................done


maxiU-Boot 1.3.1 (May 19 2010 - 23:05:16) - by SoLaLa

DRAM: 64 MB
Flash: 16 MB
In: serial
Out: serial
Err: serial
selected Image to start: ----Flash----

no originalsoft detected, trying bootargs_0, if empty trying e2-bootargs...
Hit any key to stop autoboot: 0
## Booting image at a0040000 ...
Image Name: Linux-2.6.32.71_stm24_0217
Image Type: SuperH Linux Kernel Image (gzip compressed)
Data Size: 4308270 Bytes = 4.1 MB
Load Address: 84001000
Entry Point: 84002000
Verifying Checksum ... OK
Uncompressing Kernel Image ... OK

Starting kernel console=ttyAS0,115200 root=/dev/mtdblock2 ip=192.168.100.2:192.168.100.8:192.168.100.10:255. 255.255.0:kathrein:eth0:off mem=64m coprocessor_mem=4m@0x10000000,4m@0x10400000 rootdelay=0 nwhwconf=device:eth0,hwaddr:00 init=/bin/devinit - 0x00000000 - 0 ...

INIT: version booting
------------------------------------------------------------
deploy.sh version V0.13 (version for Kathrein UFS910)
------------------------------------------------------------

<- Mounting USB stick ->
mount: mounting /dev/sdb1 on /instsrc failed: No such device or address
mount: mounting /dev/sdb on /instsrc failed: No such device or address
mount: mounting /dev/sdb1 on /instsrc failed: No such device or address
mount: mounting /dev/sdb on /instsrc failed: No such device or address
mount: mounting /dev/sdb1 on /instsrc failed: No such device or address
mount: mounting /dev/sdb on /instsrc failed: No such device or address
mount: mounting /dev/sdb1 on /instsrc failed: No such device or address
mount: mounting /dev/sdb on /instsrc failed: No such device or address
mount: mounting /dev/sdb1 on /instsrc failed: No such device or address
mount: mounting /dev/sdb on /instsrc failed: No such device or address
mount: mounting /dev/sdb1 on /instsrc failed: No such device or address
mount: mounting /dev/sdb on /instsrc failed: No such device or address
INIT: Entering runlevel: 3
INIT: no more processes left in this runlevel

USB-stick prepare in Instructions, all files copied in /kathrein/ufs910/.

Needed mini-uboot in flash? Dont work mini-uboot, AAF Recovery 1.9 says: Done mini install and activate and next boot only maxi-uboot...

After added pen prepared mini1, mini2:


INIT: version booting
------------------------------------------------------------
deploy.sh version V0.13 (version for Kathrein UFS910)
------------------------------------------------------------

<- Mounting USB stick ->
./deploy.sh: line 1: can't open /instsrc/Image_Installer.ini: no such file
grep: /instsrc/Image_Installer.ini: No such file or directory
grep: /instsrc/Image_Installer.ini: No such file or directory
grep: /instsrc/Image_Installer.ini: No such file or directory
grep: /instsrc/Image_Installer.ini: No such file or directory
-------------------------------------
Using the following settings:

partition :
createmini :
keepsettings :
keepbootargs :

usejfs :
useext2e2 :

usbhdd :
format :
update :
-------------------------------------
sh: 1: unknown operand

<- Formatting HDD (rootfs) ->
mke2fs 1.46.4 (18-Aug-2021)
/dev/sda1 contains a vfat file system labelled 'X'
Creating filesystem with 251896 4k blocks and 62976 inodes
Filesystem UUID: 69f0b360-bfde-11d3-bf55-001dec00d6ba
Superblock backups stored on blocks:
32768, 98304, 163840, 229376

Allocating group tables: done
Writing inode tables: done
Creating journal (4096 blocks): done
Writing superblocks and filesystem accounting information: done

sh: 1: unknown operand
<- Skipping installation of root file system ->
mount: mounting /dev/sda3 on /mnt failed: No such device or address
umount: can't unmount /mnt: Invalid argument
-rwxr-xr-x 1 0 0 15712 Mar 2 2022 fw_printenv
lrwxrwxrwx 1 0 0 21 Mar 2 2022 fw_setenv -> /usr/sbin/fw_printenv
<- Flashing U-Boot args: Warning: Bad CRC, using default environment
Can't open /dev/mtd7: Permission denied
Error: can't write fw_env to flash
Warning: Bad CRC, using default environment
Can't open /dev/mtd7: Permission denied
Error: can't write fw_env to flash
Warning: Bad CRC, using default environment
Can't open /dev/mtd7: Permission denied
Error: can't write fw_env to flash
Warning: Bad CRC, using default environment
Can't open /dev/mtd7: Permission denied
Error: can't write fw_env to flash
done ->

INIT: Entering runlevel: 3
INIT: no more processes left in this runlevel

VFD: 9 MOUNT USB, 7 FORMAT ERROR, SET BOTTARGS, ERROR KRNL FLASH

But the flash build, not usb...

Image_Installer.ini working in flash image?:


# createmini: This setting will only have effect in combination with partition=1
# 0 only creates system partition, swap partition and record partition
# 1 additionally creates 4 partitions MINI1 to MINI4 at the end of the disk
.......................
[parameter]
partition=0
createmini=0
keepsettings=1
keepbootargs=1

But createmini disabled = 0

(https://www.dropbox.com/sh/tat548iptxt3nj7/AABNRHq8Pe_jdR1hwG2btARAa?dl=0)

Audioniek
04.03.2022, 16:02
Hello Turulbird,

Some time ago I added the ufsinstaller for the UFS910, deriving it from the UFS922. As with the UFS922, the ufsinstaller assumes the image is running off the internal hard disk. As the UFS910 has not got one as standard, the best way is to build and USB image and use that in the classic way with the maxi-uboot you already have on your box.

Adding an internal hard disk to the UFS910 is not difficult. You have to solder in 4 10nF SMD capacitors and the SATA data connector. If you use a 2.5 inch hard drive, you only need +5 Volt and the power supply in the UFS910 has enough power to operate such a drive.

If an internal hard drive is installed, use the directions regarding the UFS922 and build a flash image. I have running E2 successfully on both 14W and 1W standby models.

Regards,

Audioniek.

turulbird
04.03.2022, 17:52
Hi!

Thank you, so much is not important, there is Vu+ Solo SE too.
I can not start Neutrino flash image and E2 usb image.

2022. neutrino usb images working:

https://www.dropbox.com/sh/t6hd0hi192vuuqw/AADuoOxGMj6K7e-qAjiML7Tsa?dl=0

Thanks!

sadaghiani
17.03.2022, 19:07
Start build of dvbsnoop.
fatal: remote error:
The unauthenticated git protocol on port 9418 is no longer supported.
Please see https://github.blog/2021-09-01-improving-git-protocol-security-github/ for more information.
make: *** [make/contrib-apps.mk:1615: /home/amir/buildsystem/.deps/dvbsnoop] Error 1

Audioniek
18.03.2022, 16:56
Hello sadaghiani,

Do a make update or git pull in your build environment as I have updated the URL for dvbsnoop. I also needed to run this line in a terminal to make it work:


git config --global url."https://github.com/".insteadOf git://github.com/

Hope this helps.

Regards,

Audioniek.

sadaghiani
23.03.2022, 20:30
titan
make: *** No rule to make target '/home/amir/buildsystem/patches/build-titan/linux-sh4-2.6.32.71_0217_hs7429.config', needed by '/home/amir/buildsystem/.deps/kernel.do_prepare'. Stop.

sadaghiani
24.03.2022, 07:36
enigma
make[2]: *** [Makefile:497: servicemp3.lo] Error 1
make[1]: *** [Makefile:405: all-recursive] Error 1
make: *** [make/enigma2-plugins.mk:419: /home/amir/buildsystem/.deps/enigma2_servicemp3epl] Error 2

Audioniek
24.03.2022, 22:50
Titan: still being developed, and support is not complete yet. I will first try and get it to work on a HS8200, then add other receivers.

Enigma2: servicemp3_epl has not been changed since 29 jan. and should build OK; it does with my build environment.

Regards,

Audioniek.

pop1234
28.03.2022, 13:50
hi @Audioniek (http://www.hdmedia-universe.com/board/member.php?1349-Audioniek)

Enable build for Power Vu DES
https://github.com/Audioniek/buildsystem/commit/12c382f59f05fbcf8f19c92cddcd96342fd12b65

in this commit , i think replace 138 to 136

#define CA_SET_DESCR_MODE _IOW('o', 138, ca_descr_mode_t) // ??????? no definition

look here
https://trac.streamboard.tv/oscam/browser/trunk/module-dvbapi.h#L303
https://github.com/oe-alliance/oe-alliance-core/blob/5.0/meta-brands/meta-fulan/recipes-linux/linux-fulan/linux-sh4-linuxdvb_stm24_0217_ca.patch#L49
https://github.com/OpenVisionE2/linux-sh4-2.6.32.81/blob/stmicro/include/linux/dvb/ca.h#L124

thx for great job

ainouna
31.03.2022, 12:56
hello audioniek
no source to compile titan
Starting Titan build
====================

Repository : https://:@github.com/OpenVisionE2/Titan.git
Cloning remote Titan git...remote: Repository not found.
fatal: Authentication failed for 'https://:@github.com/OpenVisionE2/Titan.git/'
done.
Copying local git content to build environment...cp: cannot stat '/home/bakara/Archive/titan.git': No such file or directory
done.

thx for great job

Regards,
ainouna

sadaghiani
01.04.2022, 19:29
https://github.com/Audioniek/buildsystem

- - - Aktualisiert - - -


hello audioniek
no source to compile titan
Starting Titan build
====================

Repository : https://:@github.com/OpenVisionE2/Titan.git
Cloning remote Titan git...remote: Repository not found.
fatal: Authentication failed for 'https://:@github.com/OpenVisionE2/Titan.git/'
done.
Copying local git content to build environment...cp: cannot stat '/home/bakara/Archive/titan.git': No such file or directory
done.

thx for great job

Regards,
ainouna
https://github.com/Audioniek/buildsystem

ainouna
02.04.2022, 11:50
hello Audioniek
I updated the git
but it does not work
=================================
Starting Titan build
====================

Repository : https://:@github.com/OpenVisionE2/Titan.git
Cloning remote Titan git...remote: Repository not found.
fatal: Authentication failed for 'https://:@github.com/OpenVisionE2/Titan.git/'
done.

Regards
ainouna

ainouna
04.04.2022, 13:46
excuse me Audioniek
I didn't see the post

Regards
ainouna

Audioniek
04.04.2022, 22:50
Helloainouna,

Please note that the git for Titan is not public but a private one, requiring a user name and password.

Regards,

Audioniek.

ainouna
05.04.2022, 10:24
Helloainouna,

Please note that the git for Titan is not public but a private one, requiring a user name and password.

Regards,



Audioniek.

Hello Audioniek
I know I need authentication

I tried with the titan.git from http://sbnc.dyndns

failed to compile for hs7429

Configuring titan...
Generating configuration files for tuxbox-neutrino, please wait....
aclocal
libtoolize --automake -f -c
autoconf
autoheader
autoheader: error: AC_CONFIG_HEADERS not found in configure.ac
automake --add-missing
configure.ac:2: warning: AM_INIT_AUTOMAKE: two- and three-arguments forms are deprecated. For more info, see:
configure.ac:2: http://www.gnu.org/software/automake/manual/automake.html#Modernize-AM_005fINIT_005fAUTOMAKE-invocation
configure.ac:6: installing './compile'
configure.ac:2: installing './missing'
titan/Makefile.am:3: warning: 'INCLUDES' is the old name for 'AM_CPPFLAGS' (or '*_CPPFLAGS')
titan/Makefile.am: installing './depcomp'
configure: error: unsupported value hs7429 for --with-boxmodel
make/titan.mk:157: recipe for target '/home/bakara/Documents/buildsystem/build_source/titan/config.status' failed
make: *** [/home/bakara/Documents/buildsystem/build_source/titan/config.status] Error 1



Regards
ainouna

ainouna
09.04.2022, 16:59
Hello Audioniek
with titan.git from http://sbnc.dyndns.tv
and after some changes
I managed to build a titan image for hs7429

here is the log
==========================================

================================================== ==============================
Build Environment Variables:
PATH : /home/bakara/Documents/buildsystem/tufsbox/host/bin
: /home/bakara/Documents/buildsystem/tufsbox/cross/bin
: /home/bakara/Documents/buildsystem/tufsbox/cross/bin
: /usr/local/sbin:/usr/local/bin:/usr/sbin:/usr/bin:/sbin
: /bin:/usr/games:/usr/local/games:/snap/bin:/sbin:/usr/sbin
: /usr/local/sbin
ARCHIVE_DIR : /home/bakara/Archive
BASE_DIR : /home/bakara/Documents/buildsystem
CUSTOM_DIR : /home/bakara/Documents/buildsystem/custom
TOOLS_DIR : /home/bakara/Documents/buildsystem/tools
DRIVER_DIR : /home/bakara/Documents/buildsystem/driver
FLASH_DIR : /home/bakara/Documents/buildsystem/flash
CROSS_DIR : /home/bakara/Documents/buildsystem/tufsbox/cross
CROSS_BASE : /home/bakara/Documents/buildsystem/tufsbox/cross
RELEASE_DIR : /home/bakara/Documents/buildsystem/tufsbox/release
HOST_DIR : /home/bakara/Documents/buildsystem/tufsbox/host
TARGET_DIR : /home/bakara/Documents/buildsystem/tufsbox/cdkroot
KERNEL_DIR : /home/bakara/Documents/buildsystem/build_tmp/linux-sh4-2.6.32.71_stm24_0217
MAINTAINER : bakara
BUILD : i686-pc-linux-gnu
TARGET : sh4-linux
BOXTYPE : hs7429
KERNEL_VERSION : 2.6.32.71_stm24_0217
MEDIAFW : gstreamer
OPTIMIZATIONS : size
PARALLEL_JOBS : 5
KBUILD_VERBOSE : normal
DESTINATION : flash
IMAGE : titan
================================================== ==============================
LOCAL_TITAN_BUILD_OPTIONS :
LOCAL_TITAN_CPPFLAGS :
LOCAL_TITAN_DEPS :
================================================== ==============================

All required tools seem to be installed.

Your build environment is ready :-)

Do you want to start the build now (Y*/n)? y
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release || true
install -d /home/bakara/Documents/buildsystem/tufsbox/release
install -d /home/bakara/Documents/buildsystem/tufsbox/release/{autofs,bin,boot,dev,dev.static,etc,hdd,home,lib,m edia,mnt,proc,ram,root,sbin,swap,sys,tmp,usr,var}
install -d /home/bakara/Documents/buildsystem/tufsbox/release/etc/{init.d,network,mdev,ssl}
install -d /home/bakara/Documents/buildsystem/tufsbox/release/etc/network/if-{post-{up,down},pre-{up,down},up,down}.d
install -d /home/bakara/Documents/buildsystem/tufsbox/release/lib/{modules,udev,firmware}
install -d /home/bakara/Documents/buildsystem/tufsbox/release/media/{dvd,nfs,usb,sda1,sdb1}
ln -sf /hdd /home/bakara/Documents/buildsystem/tufsbox/release/media/hdd
install -d /home/bakara/Documents/buildsystem/tufsbox/release/mnt/{hdd,nfs,usb}
install -d /home/bakara/Documents/buildsystem/tufsbox/release/usr/{bin,lib,local,sbin,share}
install -d /home/bakara/Documents/buildsystem/tufsbox/release/usr/local/{bin,sbin}
install -d /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/{fonts,udhcpc,zoneinfo}
ln -sf /usr/share /home/bakara/Documents/buildsystem/tufsbox/release/share
install -d /home/bakara/Documents/buildsystem/tufsbox/release/var/{bin,boot,etc,lib,update}
install -d /home/bakara/Documents/buildsystem/tufsbox/release/var/lib/nfs
export CROSS_COMPILE=sh4-linux- && make -j5 install -C /home/bakara/Documents/buildsystem/build_tmp/busybox-1.34.1 CONFIG_PREFIX=/home/bakara/Documents/buildsystem/tufsbox/release
/home/bakara/Documents/buildsystem/tufsbox/release//bin/arch -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/ash -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/base32 -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/base64 -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/bash -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/cat -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/chgrp -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/chmod -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/chown -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/cp -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/date -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/dd -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/df -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/dmesg -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/dnsdomainname -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/echo -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/egrep -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/false -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/fgrep -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/getopt -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/grep -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/gunzip -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/gzip -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/hostname -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/iostat -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/kbd_mode -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/kill -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/ln -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/login -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/ls -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/mkdir -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/mknod -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/mktemp -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/more -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/mount -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/mountpoint -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/mpstat -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/mv -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/netstat -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/nice -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/pidof -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/ping -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/printenv -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/ps -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/pwd -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/rev -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/rm -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/rmdir -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/run-parts -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/sed -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/setserial -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/sh -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/sleep -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/stty -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/sync -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/tar -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/touch -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/true -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/umount -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/uname -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/usleep -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/vi -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//bin/watch -> busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/blockdev -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/bootchartd -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/fdisk -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/findfs -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/getty -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/halt -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/hdparm -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/ifconfig -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/ifdown -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/ifup -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/ip -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/losetup -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/lsmod -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/makedevs -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/mdev -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/mkswap -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/poweroff -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/reboot -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/rmmod -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/route -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/setconsole -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/start-stop-daemon -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/swapoff -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/swapon -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/switch_root -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/udhcpc -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//sbin/watchdog -> ../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/[ -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/[[ -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/awk -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/basename -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/bunzip2 -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/clear -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/cmp -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/crontab -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/cryptpw -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/cut -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/diff -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/dirname -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/dos2unix -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/du -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/eject -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/env -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/expr -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/find -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/flock -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/free -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/groups -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/head -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/hexdump -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/id -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/install -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/killall -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/less -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/lsof -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/lsusb -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/md5sum -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/microcom -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/mkfifo -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/nc -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/nslookup -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/passwd -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/pgrep -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/pkill -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/printf -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/pstree -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/pwdx -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/readlink -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/renice -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/reset -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/seq -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/sort -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/strings -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/tail -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/tee -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/telnet -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/test -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/time -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/timeout -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/top -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/tr -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/traceroute -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/ts -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/uniq -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/unix2dos -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/unzip -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/uptime -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/wc -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/wget -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/which -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/whoami -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/whois -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/xargs -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/bin/yes -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/chroot -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/crond -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/ether-wake -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/fbset -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/flash_eraseall -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/flash_lock -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/flash_unlock -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/flashcp -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/i2cdetect -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/i2cdump -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/i2ctransfer -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/ifplugd -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/inetd -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/killall5 -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/nanddump -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/nandwrite -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/ntpd -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/rdate -> ../../bin/busybox
/home/bakara/Documents/buildsystem/tufsbox/release//usr/sbin/telnetd -> ../../bin/busybox


--------------------------------------------------
You will probably need to make your busybox binary
setuid root to ensure all configured applets will
work properly.
--------------------------------------------------

rm -f /home/bakara/Documents/buildsystem/tufsbox/release/sbin/halt
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/sbin/halt /home/bakara/Documents/buildsystem/tufsbox/release/sbin/
ln -fs halt /home/bakara/Documents/buildsystem/tufsbox/release/sbin/reboot
ln -fs halt /home/bakara/Documents/buildsystem/tufsbox/release/sbin/poweroff
mkdir -p /home/bakara/Documents/buildsystem/tufsbox/release/etc/rc.d/rc0.d
ln -s ../init.d/sendsigs /home/bakara/Documents/buildsystem/tufsbox/release/etc/rc.d/rc0.d/S20sendsigs
ln -s ../init.d/umountfs /home/bakara/Documents/buildsystem/tufsbox/release/etc/rc.d/rc0.d/S40umountfs
ln -s ../init.d/halt /home/bakara/Documents/buildsystem/tufsbox/release/etc/rc.d/rc0.d/S90halt
mkdir -p /home/bakara/Documents/buildsystem/tufsbox/release/etc/rc.d/rc6.d
ln -s ../init.d/sendsigs /home/bakara/Documents/buildsystem/tufsbox/release/etc/rc.d/rc6.d/S20sendsigs
ln -s ../init.d/umountfs /home/bakara/Documents/buildsystem/tufsbox/release/etc/rc.d/rc6.d/S40umountfs
ln -s ../init.d/reboot /home/bakara/Documents/buildsystem/tufsbox/release/etc/rc.d/rc6.d/S90reboot
touch /home/bakara/Documents/buildsystem/tufsbox/release/var/etc/.firstboot
cp -a /home/bakara/Documents/buildsystem/tufsbox/cdkroot/bin/* /home/bakara/Documents/buildsystem/tufsbox/release/bin/
cp -a /home/bakara/Documents/buildsystem/tufsbox/cdkroot/sbin/* /home/bakara/Documents/buildsystem/tufsbox/release/sbin/
ln -sf /bin/showiframe /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin/showiframe
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/boot/uImage /home/bakara/Documents/buildsystem/tufsbox/release/boot/
ln -sf /proc/mounts /home/bakara/Documents/buildsystem/tufsbox/release/etc/mtab
cp -dp /home/bakara/Documents/buildsystem/root/sbin/MAKEDEV /home/bakara/Documents/buildsystem/tufsbox/release/sbin/
ln -sf ../sbin/MAKEDEV /home/bakara/Documents/buildsystem/tufsbox/release/dev/MAKEDEV
ln -sf ../../sbin/MAKEDEV /home/bakara/Documents/buildsystem/tufsbox/release/lib/udev/MAKEDEV
cp -aR /home/bakara/Documents/buildsystem/root/etc/mdev/* /home/bakara/Documents/buildsystem/tufsbox/release/etc/mdev/
cp -aR /home/bakara/Documents/buildsystem/root/usr/share/udhcpc/* /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/udhcpc/
cp -aR /home/bakara/Documents/buildsystem/root/usr/share/zoneinfo/* /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/zoneinfo/
cp /home/bakara/Documents/buildsystem/root/bin/autologin /home/bakara/Documents/buildsystem/tufsbox/release/bin/
cp /home/bakara/Documents/buildsystem/root/bin/vdstandby /home/bakara/Documents/buildsystem/tufsbox/release/bin/
cp /home/bakara/Documents/buildsystem/root/usr/sbin/fw_printenv /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin/
ln -sf ../../usr/sbin/fw_printenv /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin/fw_setenv
echo "576i50" > /home/bakara/Documents/buildsystem/tufsbox/release/etc/videomode
cp -dp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/vsftpd /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin/
cp -aR /home/bakara/Documents/buildsystem/tufsbox/cdkroot/etc/init.d/* /home/bakara/Documents/buildsystem/tufsbox/release/etc/init.d/
cp -aR /home/bakara/Documents/buildsystem/tufsbox/cdkroot/etc/* /home/bakara/Documents/buildsystem/tufsbox/release/etc/
ln -sf ../../bin/busybox /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin/ether-wake
install -m 0755 /home/bakara/Documents/buildsystem/root/release/rcS_titan_hs7429 /home/bakara/Documents/buildsystem/tufsbox/release/etc/init.d/rcS
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stm_v4l2.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stm_v4l2.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stmvbi.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stmvbi.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stmvout.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stmvout.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stmfb.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stmfb.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
cd /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra && \
for mod in \
sound/pseudocard/pseudocard.ko \
sound/silencegen/silencegen.ko \
stm/mmelog/mmelog.ko \
stm/monitor/stm_monitor.ko \
media/dvb/stm/dvb/stmdvb.ko \
sound/ksound/ksound.ko \
media/dvb/stm/mpeg2_hard_host_transformer/mpeg2hw.ko \
media/dvb/stm/backend/player2.ko \
media/dvb/stm/h264_preprocessor/sth264pp.ko \
media/dvb/stm/allocator/stmalloc.ko \
stm/platform/platform.ko \
stm/platform/p2div64.ko \
media/sysfs/stm/stmsysfs.ko \
;do \
echo `pwd` player2/linux/drivers/$mod; \
if [ -e player2/linux/drivers/$mod ]; then \
cp player2/linux/drivers/$mod /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/; \
sh4-linux-strip --strip-unneeded /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/`basename $mod`; \
else \
touch /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/`basename $mod`; \
fi; \
echo "."; \
done
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/sound/pseudocard/pseudocard.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/sound/silencegen/silencegen.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/stm/mmelog/mmelog.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/stm/monitor/stm_monitor.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/media/dvb/stm/dvb/stmdvb.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/sound/ksound/ksound.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/media/dvb/stm/mpeg2_hard_host_transformer/mpeg2hw.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/media/dvb/stm/backend/player2.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/media/dvb/stm/h264_preprocessor/sth264pp.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/media/dvb/stm/allocator/stmalloc.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/stm/platform/platform.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/stm/platform/p2div64.ko
.
/home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra player2/linux/drivers/media/sysfs/stm/stmsysfs.ko
.
echo "touched";
touched
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/avs/avs.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/avs/avs.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/bpamem/bpamem.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/bpamem/bpamem.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/boxtype/boxtype.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/boxtype/boxtype.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/compcache/ramzswap.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/compcache/ramzswap.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/e2_proc/e2_proc.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/e2_proc/e2_proc.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/net/ipv6/ipv6.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/net/ipv6/ipv6.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/embxshell/embxshell.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/embxshell/embxshell.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/embxmailbox/embxmailbox.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/embxmailbox/embxmailbox.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/embxshm/embxshm.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/embxshm/embxshm.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/mme/mme_host.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/mme/mme_host.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/embx/embx.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/embx/embx.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/embxmailbox/embxmailbox.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/embxmailbox/embxmailbox.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/embxshm/embxshm.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/embxshm/embxshm.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/ics/ics.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/ics/ics.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/ics/ics_user.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/ics/ics_user.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/mme/mme.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/mme/mme.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/mme/mme_user.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/multicom/src/mme/mme_user.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/simu_button/simu_button.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/cic/*.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/button/button.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/button/button.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/cec/cec.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/cec/cec.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/cpu_frequ/cpu_frequ.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/cpu_frequ/cpu_frequ.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/led/led.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/led/led.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/pti/pti.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/pti/pti.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/pti_np/pti.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/pti_np/pti.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/smartcard/smartcard.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/smartcard/smartcard.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/autofs4/autofs4.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/autofs4/autofs4.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/drivers/net/tun.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/drivers/net/tun.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/drivers/usb/serial/ftdi_sio.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/drivers/usb/serial/ftdi_sio.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ftdi_sio.ko || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/drivers/usb/serial/pl2303.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/drivers/usb/serial/pl2303.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/drivers/usb/serial/usbserial.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/drivers/usb/serial/usbserial.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/fuse/fuse.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/fuse/fuse.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/ntfs/ntfs.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/ntfs/ntfs.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/cifs/cifs.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/cifs/cifs.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/jfs/jfs.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/jfs/jfs.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/nfsd/nfsd.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/nfsd/nfsd.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/exportfs/exportfs.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/exportfs/exportfs.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/nfs_common/nfs_acl.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/nfs_common/nfs_acl.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/nfs/nfs.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/nfs/nfs.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/sata_switch/sata.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/sata_switch/sata.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/mini_fo/mini_fo.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/kernel/fs/mini_fo/mini_fo.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rt2870sta/rt2870sta.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rt2870sta/rt2870sta.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rt3070sta/rt3070sta.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rt3070sta/rt3070sta.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rt5370sta/rt5370sta.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rt5370sta/rt5370sta.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rtl871x/8712u.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rtl871x/8712u.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rtl8188eu/8188eu.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rtl8188eu/8188eu.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rtl8192cu/8192cu.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rtl8192cu/8192cu.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/ || true
[ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rtl8192du/8192du.ko ] && cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/wireless/rtl8192du/8192du.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules || true
cp -R /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/* /home/bakara/Documents/buildsystem/tufsbox/release/lib/
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/lib/*.{a,o,la}
chmod 755 /home/bakara/Documents/buildsystem/tufsbox/release/lib/*
cp -R /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/lib/* /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/{engines,enigma2,gconv,libxslt-plugins,pkgconfig,python,sigc++-2.0}
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/*.{a,o,la}
chmod 755 /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/*
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/local/bin/titan ]; then \
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/local/bin/titan /home/bakara/Documents/buildsystem/tufsbox/release/usr/local/bin/; \
fi
cp -aR /home/bakara/Documents/buildsystem/root/root_titan/* /home/bakara/Documents/buildsystem/tufsbox/release/
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/var/tuxbox/config/cables.xml
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/var/tuxbox/config/terrestrial.xml
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/alsa ]; then \
mkdir -p /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/alsa/; \
mkdir /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/alsa/cards/; \
mkdir /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/alsa/pcm/; \
cp -dp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/alsa/alsa.conf /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/alsa/alsa.conf; \
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/alsa/cards/aliases.conf /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/alsa/cards/; \
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/alsa/pcm/default.conf /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/alsa/pcm/; \
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/alsa/pcm/dmix.conf /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/alsa/pcm/; \
# cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/amixer /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin/; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/rpc.nfsd ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/exportfs /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin/; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/rpc.nfsd /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin/; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/rpc.mountd /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin/; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/rpc.statd /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin/; \
fi
if [ -d /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/autofs ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/automount /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin/; \
# ln -s /usr/sbin/automount /home/bakara/Documents/buildsystem/tufsbox/release/sbin/automount; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libglcddrivers.so ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/etc/graphlcd.conf /home/bakara/Documents/buildsystem/tufsbox/release/etc/; \
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libglcdskin.so*; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/lcd4linux ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/lcd4linux /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin/; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/etc/init.d/lcd4linux /home/bakara/Documents/buildsystem/tufsbox/release/etc/init.d/; \
cp -a /home/bakara/Documents/buildsystem/tufsbox/cdkroot/etc/lcd4linux.conf /home/bakara/Documents/buildsystem/tufsbox/release/etc/; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/minidlnad ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/minidlnad /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin/; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/openvpn ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/sbin/openvpn /home/bakara/Documents/buildsystem/tufsbox/release/usr/sbin; \
install -d /home/bakara/Documents/buildsystem/tufsbox/release/etc/openvpn; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/udpxy ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/udpxy /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin; \
cp -a /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/udpxrec /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/xupnpd ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/xupnpd /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin; \
cp -aR /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/xupnpd /home/bakara/Documents/buildsystem/tufsbox/release/usr/share; \
mkdir -p /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/xupnpd/playlists; \
fi
if [ -d /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/lua ]; then \
cp -aR /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/lua /home/bakara/Documents/buildsystem/tufsbox/release/usr/share; \
fi
if [ -d /home/bakara/Documents/buildsystem/tufsbox/cdkroot/var/tuxbox/plugins ]; then \
cp -af /home/bakara/Documents/buildsystem/tufsbox/cdkroot/var/tuxbox/plugins /home/bakara/Documents/buildsystem/tufsbox/release/var/tuxbox/; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/release/var/tuxbox/plugins/tuxwetter.so ]; then \
cp -rf /home/bakara/Documents/buildsystem/tufsbox/cdkroot/var/tuxbox/config/tuxwetter /home/bakara/Documents/buildsystem/tufsbox/release/var/tuxbox/config; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/release/var/tuxbox/plugins/soko.so ]; then \
cp -rf /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/share/tuxbox/sokoban /home/bakara/Documents/buildsystem/tufsbox/release/var/tuxbox/plugins; \
ln -s /var/tuxbox/plugins/sokoban /home/bakara/Documents/buildsystem/tufsbox/release/usr/share/tuxbox/sokoban; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/shairport ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/shairport /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/mDNSPublish /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/bin/mDNSResponder /home/bakara/Documents/buildsystem/tufsbox/release/usr/bin; \
cp -f /home/bakara/Documents/buildsystem/root/etc/init.d/shairport /home/bakara/Documents/buildsystem/tufsbox/release/etc/init.d/shairport; \
chmod 755 /home/bakara/Documents/buildsystem/tufsbox/release/etc/init.d/shairport; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/lib/libhowl.so* /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/lib/libmDNSResponder.so* /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib; \
fi
if [ -e /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/local/bin/eplayer3 ]; then \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/local/bin/eplayer3 /home/bakara/Documents/buildsystem/tufsbox/release/bin/; \
cp -f /home/bakara/Documents/buildsystem/tufsbox/cdkroot/usr/local/bin/meta /home/bakara/Documents/buildsystem/tufsbox/release/bin/; \
fi
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/lua/5.2/*.la
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release/lib/autofs
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/lib/libSegFault*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/lib/libthread_db*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/lib/libanl*
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/m4-nofpu/
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/lzo*.ko
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/2.6.32.71_stm24_0217
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/alsa
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/alsaplayer
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/audit
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libc.so
rm -rf /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/glib-2.0
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/xml2Conf.sh
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libfontconfig*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libtermcap*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libmenu*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libpanel*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libncurses*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libthread_db*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libanl*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/libopkg*
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/bin/gitVCInfo
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/bin/libstb-hal-test
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/bin/wdctl
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/sbin/ldconfig
echo "hs7429" > /home/bakara/Documents/buildsystem/tufsbox/release/etc/hostname
install -m 0755 /home/bakara/Documents/buildsystem/rootrelease/halt_hs742x /home/bakara/Documents/buildsystem/tufsbox/release/etc/init.d/halt
chmod 755 /home/bakara/Documents/buildsystem/tufsbox/release/etc/init.d/halt
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/frontcontroller/nuvoton/nuvoton.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/frontends/lnb/lnb.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/frontends/*.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/lib/modules/2.6.32.71_stm24_0217/extra/stgfb/stmfb/stmcore-display-sti7111.ko /home/bakara/Documents/buildsystem/tufsbox/release/lib/modules/
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/boot/video_7111.elf /home/bakara/Documents/buildsystem/tufsbox/release/lib/firmware/video.elf
cp /home/bakara/Documents/buildsystem/tufsbox/cdkroot/boot/audio_7111.elf /home/bakara/Documents/buildsystem/tufsbox/release/lib/firmware/audio.elf
cp /home/bakara/Documents/buildsystem/root/firmware/component_7111_mb618.fw /home/bakara/Documents/buildsystem/tufsbox/release/lib/firmware/component.fw
touch /home/bakara/Documents/buildsystem/.deps/release_titan
find /home/bakara/Documents/buildsystem/own_build/titan/ -mindepth 1 -maxdepth 1 -exec cp -at/home/bakara/Documents/buildsystem/tufsbox/release/ -- {} +
[ -d "/home/bakara/Documents/buildsystem/own_build/titan.hs7429" ] && find /home/bakara/Documents/buildsystem/own_build/titan.hs7429/ -mindepth 1 -maxdepth 1 -exec cp -at/home/bakara/Documents/buildsystem/tufsbox/release/ -- {} + || true
echo hs7429 > /home/bakara/Documents/buildsystem/tufsbox/release/etc/model
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/for_your_own_changes
cp -dpfr /home/bakara/Documents/buildsystem/tufsbox/release/etc /home/bakara/Documents/buildsystem/tufsbox/release/var
rm -fr /home/bakara/Documents/buildsystem/tufsbox/release/etc
ln -sf /var/etc /home/bakara/Documents/buildsystem/tufsbox/release
ln -s /tmp /home/bakara/Documents/buildsystem/tufsbox/release/lib/init
ln -s /tmp /home/bakara/Documents/buildsystem/tufsbox/release/var/lib/urandom
ln -s /tmp /home/bakara/Documents/buildsystem/tufsbox/release/var/lock
ln -s /tmp /home/bakara/Documents/buildsystem/tufsbox/release/var/log
ln -s /tmp /home/bakara/Documents/buildsystem/tufsbox/release/var/run
ln -s /tmp /home/bakara/Documents/buildsystem/tufsbox/release/var/tmp
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/bin/pic2m2v
rm -f /home/bakara/Documents/buildsystem/tufsbox/release/usr/lib/*.py
find /home/bakara/Documents/buildsystem/tufsbox/release/ -name '*' -exec sh4-linux-strip --strip-unneeded {} &>/dev/null \;
************************************************** *************

Build of Titan for hs7429 successfully completed.

************************************************** *************

bakara@bakara-desktop:~/Documents/buildsystem$



=============================================
after
flash problem
here is the log
====================================
++++++++++++++++++++++++++++++++++++++++++++++++++ +++++++++++++++++++++
+
+ Summary
+ =======
+
+ Receiver : hs7429
+ Linux version : linux-sh4-2.6.32.71
+ Kernel patch level : P0217
+ Image :
+ Will run in/on : flash
+
++++++++++++++++++++++++++++++++++++++++++++++++++ +++++++++++++++++++++

-- Prepare root -------------------------------------------------------

Prepare root for hs7429.

./flash.sh: ligne 414: /home/bakara/Documents/buildsystem/flash/scripts/flash/prepare_root__flash.sh: Aucun fichier ou dossier de ce type

Root preparation completed.

./flash.sh: ligne 464 : [: == : opérateur unaire attendu
./flash.sh: ligne 467 : [: == : opérateur unaire attendu
./flash.sh: ligne 470 : [: == : opérateur unaire attendu

-- ERROR! -------------------------------------------------------------

!!! ERROR: File audio.elf is missing !!!
!!! ERROR: File video.elf is missing !!!

Make sure that you use the correct .elf files in the
directory /home/bakara/Documents/buildsystem/root/boot.

Exiting...
-----------------------------------------------------------------------

bakara@bakara-desktop:~/Documents/buildsystem/flash$


Regards
ainouna

Audioniek
12.04.2022, 10:47
Hello ainouna,

I have pushed some changes and additions in the flash git so it will at least make a flash file for the hs8200 and hs7429. Please note that it is all untested, as Titan is at the very bottom of my priority list.

Regards,

Audioniek.

ainouna
12.04.2022, 13:04
Hello Audioniek
how to get
the titan.git
REPO_TITAN=$(GITHUB)"/OpenVisionE2/Titan.git"

Regards
ainouna

Audioniek
13.04.2022, 15:38
Post #441? It is up to the owner of a private git who has access to it and who has not.

Regards,

Audioniek.

ainouna
13.05.2022, 11:29
hello audioniek
no titan sources

rting Titan build
====================

Repository : https://:@github.com/OpenVisionE2/Titan.git
Cloning remote Titan git...remote: Repository not found.
fatal: Authentication failed for 'https://:@github.com/OpenVisionE2/Titan.git/'
done.
Copying local git content to build environment...cp: cannot stat '/home/bakara/Archive/titan.git': No such file or directory
done.
cp: cannot stat '/home/bakara/Bureau/ALLBUILD/AUDIONIEK/buildsystem/build_source/titan': No such file or directory
/bin/bash: line 15: cd: /home/bakara/Bureau/ALLBUILD/AUDIONIEK/buildsystem/build_source/titan: No such file or directory


Regards
ainouna

Audioniek
13.05.2022, 17:07
Cloning remote Titan git...remote: Repository not found.
fatal: Authentication failed for 'https://:@github.com/OpenVisionE2/Titan.git/'


Posts #441 and #446? It is not my git!

Regards,

Audioniek.

ainouna
14.05.2022, 10:35
Hello Audioniek
so why you put this weird link

Repository : https://:@github.com/OpenVisionE2/Titan.git

Regards
ainouna

Audioniek
14.05.2022, 17:10
Because it is, as far as I know, the only accessible git for Titan on the net. If you know of a public one, I'll be glad to put that one in.

Regards,

Audioniek.

ainouna
15.05.2022, 11:58
hello Audioniek
and that
http://sbnc.dyndns.tv/svn/titan/
username=public
password=public
for trial

Regard
ainouna

sadaghiani
15.05.2022, 20:22
sh4-linux-gcc: error: unrecognized command line option '-fdiagnostics-color=auto'
make[1]: *** [ffbuild/common.mak:59: libavdevice/alldevices.o] Error 1
make[1]: *** Waiting for unfinished jobs....
sh4-linux-gcc: error: unrecognized command line option '-fdiagnostics-color=auto'
make[1]: *** [ffbuild/common.mak:59: libavdevice/alsa.o] Error 1
sh4-linux-gcc: error: unrecognized command line option '-fdiagnostics-color=auto'
make[1]: *** [ffbuild/common.mak:59: libavdevice/alsa_dec.o] Error 1
make: *** [make/ffmpeg.mk:876: /home/amir/buildsystem01/.deps/ffmpeg] Error 2

Audioniek
17.05.2022, 16:09
If you do a git pull this will be solved. If however you are building with Ubuntu 22.04LTS (when I first experienced this), you will have more problems: see here (http://www.hdmedia-universe.com/board/showthread.php?11822-autoconf-2-70-and-later&goto=newpost).

ainouna
02.06.2022, 15:01
Hello Audioniek
for hs8200
successful compilation
flash_image successful
remains to be tested
=====================
for hs7429
successful compilation
flash_image successful
after start
stopped at load 6:(


Regard
ainouna

turulbird
08.06.2022, 16:36
Hi!
UFS 910 titan(5) build (LM 20.3 Una): linux-usbwait123_stm24.patch needed to /buildsystem/patches/build-titan/;
after copy from /buildsystem/patches/build-all/ build working now...


Start build of titan_release.
Copying image to release directory...cp: cannot stat '/home/turulbird/buildsystem/tufsbox/cdkroot/etc/exports': No such file or directory
make: *** [make/titan-release.mk:571: titan-release-base] Error 1


But not login in titan git, only ok in login window; rebuild... (I haven't entered the public/public..)

After rebuild:


Making install in titan
make[3]: Nothing to be done for 'install-data-am'.
/usr/bin/mkdir -p '/home/turulbird/buildsystem/tufsbox/cdkroot/usr/local/bin'
/bin/sh ../libtool --mode=install /usr/bin/install -c titan '/home/turulbird/buildsystem/tufsbox/cdkroot/usr/local/bin'
libtool: install: /usr/bin/install -c titan /home/turulbird/buildsystem/tufsbox/cdkroot/usr/local/bin/titan
make[3]: Nothing to be done for 'install-exec-am'.
make[3]: Nothing to be done for 'install-data-am'.
Stripping... done.

--------------------------------------------------------------
Build of titan completed.

================================================== ============

Start build of titan_release.
Copying image to release directory...cp: cannot stat '/home/turulbird/buildsystem/tufsbox/cdkroot/etc/exports': No such file or directory
make: *** [make/titan-release.mk:571: titan-release-base] Error 1


But there was no new login window.

ainouna
09.06.2022, 13:18
HI..
for the moment test for hs8200

Regard
ainouna

Audioniek
09.06.2022, 13:22
@ainouna, turulbird

Of course I appreciate the feedback, but I am barely in a position to do anything with it, due to health issues. Please bear in mind that regarding Titan, a lot (and I mean a lot, for instance the kernel config has not been optimized yet and the wired network does not work) of issues remain. I develop on a hs8200, and have not done anything yet for other models (apart from a non-working rcS for the hs7429); the current kernel configs are just copied from the neutrino ones.

A working Titan built with my git is still a very long time and a lot of work away, and may never appear.

Regards,

Audioniek.

ainouna
09.06.2022, 15:15
Hello Audioniek
we wish you a long life and good health
good recovery
and thank you for all you do

Regards
ainouna

chaban
11.06.2022, 10:17
Audioniek
we wish you a long life and good health

and thank you for all you do

ainouna
11.06.2022, 15:54
Hello Audioniek
for hs 8200 I don't know if it works
=======================================
for hs7429
after flashing demo
error
==================================================
Reading data from 0x7ff800 -- 100% complete.
3145728 bytes read: OK
INIT: version booting
[rcS] Start
Fortis HS7429 Titan (untested)
[rcS] Init frontpanel
[rcS] Start (LOAD 10)
[mountvirtfs] Mount /dev in tmpfs
[mountvirtfs] Copying device nodes to /dev
[rcS] Starting DEBUG Interface
[mountall] Mounting local filesystems...
mount: mounting LABEL=RECORD on /hdd failed: No such file or directory
Cleaning /tmp /var/run /var/lock.
Hostname: (none).
[rcS] Starting telnetd
[rcS] Init stmfb (LOAD 9)
/etc/init.d/rcS: line 51: eecho: not found
[rcS] Load video firmware (LOAD 8)
[rcS] Load audio firmware (LOAD 7)
[rcS] Init embx (LOAD 6)
[rcS] Init AVS (LOAD 5)
[rcS] Init player (LOAD 4)
sh: 131: unknown operand
[rcS] Init frontend
insmod: error inserting '/lib/modules/stmdvb.ko': -1 Unknown symbol in module
[rcS] Init player2 (LOAD 3)
insmod: error inserting '/lib/modules/player2.ko': -1 Unknown symbol in module
insmod: error inserting '/lib/modules/sth264pp.ko': -1 Unknown symbol in module
insmod: error inserting '/lib/modules/stmalloc.ko': -1 Unknown symbol in module
[rcS] Init remote control (LOAD 2)
[rcS] Init portmap & ftp (LOAD 1)
[evremote2] Model: 'hs7429'
[evremote2] vBoxType: 8 (hs7429)
[evremote2] Input device name: "TDT RC event driver"
[evremote2] Remote selected: Fortis RemoteControl
[evremote2] RemoteControl Map:
Keyname Keyword KeyCode
--------------------------------------
MUTE - 0C - 113
POWER - 0A - 116
V.FORMAT - 0E - 372
RESOLUTION - 0F - 227
1 - 11 - 2
2 - 12 - 3
3 - 13 - 4
4 - 14 - 5
5 - 15 - 6
6 - 16 - 7
7 - 17 - 8
8 - 18 - 9
9 - 19 - 10
INFO - 06 - 358
0 - 10 - 11
RECALL - 09 - 158
VOLUMEUP - 4E - 115
MENU - 04 - 139
CHANNELUP - 5E - 402
VOLUMEDOWN - 4F - 114
EXIT - 1C - 174
CHANNELDOWN - 5F - 403
UP - 00 - 103
LEFT - 03 - 105
OK - 1F - 352
RIGHT - 02 - 106
DOWN - 01 - 108
PAGEUP - 43 - 104
EPG - 08 - 365
PAGEDOWN - 44 - 109
REWIND - 58 - 168
PLAY - 55 - 207
FASTFORWARD - 5C - 208
PREVIOUS - 50 - 412
RECORD - 56 - 167
NEXT - 4C - 407
PLAYLIST - 40 - 144
PAUSE - 07 - 119
STOP - 54 - 128
CHECK - 42 - 138
RED - 4B - 398
GREEN - 4A - 399
YELLOW - 49 - 400
BLUE - 48 - 401
PIP - 51 - 375
PIP_SWAP - 52 - 354
PIP_LIST - 53 - 390
SLEEP - 1E - 362
FAV - 41 - 364
TVRADIO - 1A - 378
SUBTITLE - 0B - 370
TEXT - 0D - 388
--------------------------------------
[evremote2] Frontpanel Map:
Keyname Keyword KeyCode
--------------------------------------
POWER - 00 - 116
OK - 06 - 352
MENU - 05 - 139
VOLUMEUP - 03 - 115
VOLUMEDOWN - 04 - 114
CHANNELUP - 01 - 402
CHANNELDOWN - 02 - 403
--------------------------------------
[evremote2] Supports Long KeyPress: yes
[evremote2 fortis] Period = 10, delay = 140
[evremote2] Using period = 10 delay = 140
Starting portmap daemon....
Starting FTP server: vsftpd.
Deconfiguring network interfaces... ifdown: interface lo not configured
ifdown: interface eth0 not configured
ifdown: interface eth1 not configured
ifdown: interface wlan0 not configured
done.
Setting up IP spoofing protection: rp_filter.
Configuring network interfaces... udhcpc: started, v1.34.1
udhcpc: broadcasting discover
udhcpc: broadcasting select for 192.168.1.4, server 192.168.1.1
udhcpc: lease of 192.168.1.4 obtained from 192.168.1.1, lease time 259200
route: SIOCDELRT: No such process
adding dns 192.168.1.1
ip: SIOCGIFFLAGS: No such device
ip: SIOCGIFFLAGS: No such device
done.
No WLAN
Set internet time
ntpd: setting time to 2022-06-11 16:21:25.628046 (offset +708272475.836553s)
Current system time: 16:21:25 11-06-2022 (local)
Time to set: 16:21:25 11-06-2022 (local)
Converted to: MJD = 59741 16-21-25 (local)
Front panel time set to: 16:21:25 11-06-2022 (local)
Note: /proc/stb/fp/rtc_offset set to: +7200 seconds.
[rcS] LOADING titan
killall: showiframe: no process killed
[rcS] Starting titan ->
[titan] copyright by NIT - version 1.99 (1)
[titan] crontribut: obi, gost
[titan] box=HS7429 vubox1=0 frameb1=0
[titan] using config: titan.cfg
[titan] error: can't open titan.cfg, err=No such file or directory, file=config.h, func=readconfig, line=171
error: status.configfile ret=1
[rcS] Titan ended <- return value: 100
ERROR
INIT: Switching to runlevel: 6
INIT: Sending processes configured via /etc/inittab the TERM signal
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: Id "z6" respawning too fast: disabled for 5 minutes
INIT: no more processes left in this runlevel



Regards
ainouna

ainouna
11.06.2022, 17:23
hello again Audioniek
after a git pull
after correction of rcS_titan_hs7429
by adding
/usr/local/bin/titan /mnt/config/titan.cfg

now
==================================

[titan] error: open2 frontend failed /dev/dvb/adapter0/frontend0, file=frontenddev.h, func=feopen, line=840
[titan] error: open2 frontend failed /dev/dvb/adapter0/frontend1, file=frontenddev.h, func=feopen, line=840
[titan] error: open2 frontend failed /dev/dvb/adapter0/frontend2, file=frontenddev.h, func=feopen, line=840
[titan] error: open2 frontend failed /dev/dvb/adapter0/frontend3, file=frontenddev.h, func=feopen, line=840
[titan] error: no frontend device found, file=titan.c, func=main, line=1056
error: starterror=Error: no frontend device found !!
Automatic stop in 10 seconds.
[rcS] Titan ended <- return value: 100
ERROR
INIT: Sending processes configured via /etc/inittab the TERM signal
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: Id "z6" respawning too fast: disabled for 5 minutes
INIT: no more processes left in this runlevel
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: cannot execute "/sbin/sulogin"
INIT: Id "z6" respawning too fast: disabled for 5 minutes

Error.no frontend device found !!
Automatic stop in seconds

Regards
ainou,a

Audioniek
14.06.2022, 15:45
Hello ainouna,

First of all, if you look in the previously posted log, you will see that some player-modules are not loaded because of unknown symbols. This is caused by a problem somewhere in your build. Without the player modules, the frontend will never be recognized.

In addition, if you look in the titan code, you will see that there is a lot of code dependent on the receiver model. Titan originates from Atevio/Atemio and they originally only supported their own models and the HS7429 was not one of them. That is the reason I develop on the HS8200 which was sold with TitanNit as the Atemio AV7600.

I am currently at the point that Titan starts on my HS8200 and can be used to watch TV. What I am doing now is trying to solve the various remaining problems one by one to improve things. But as said before, a lot of issues remain.

Regards,

Audioniek.

sadaghiani
22.06.2022, 16:11
https://:@github.com/BPanther/stlinux/raw/master/stlinux24-cross-sh4-binutils-2.24.51.0.3-77.i386.rpm: Invalid user name.
make: *** [make/crosstool.mk:30: /home/amir/Archive/stlinux/stlinux24-cross-sh4-binutils-2.24.51.0.3-77.i386.rpm] Error 1

ainouna
30.06.2022, 13:37
Hello Audioniek
I bought a used hs7429 for about 16 euros
not yet titan for hs7429

Regards,

ainouna

ainouna
30.06.2022, 14:53
hello again Audioniek
I managed to build titan for hs7429 on usb
I tested it and it works

Regards,

ainouna

ainouna
30.06.2022, 15:57
HI..
no plugins
no feed
it is empty

Regards,
ainouna

Audioniek
01.07.2022, 22:31
Congratulations.

Regards,

Audioniek.

ainouna
02.07.2022, 10:03
Hello Audioniek
titan is empty
how to download plugins

Regards,
ainouna

Audioniek
02.07.2022, 13:58
Please read post #457 again. Titan is far from finished at the moment.

Regards,

Audioniek.

turulbird
08.07.2022, 13:19
Hi!

Password needed, public/public not work:


Start build of neutrino-hd2.
Cloning git...Username for 'https://github.com':

DDT, Tangos build ok. LMDE 5, UFS 910.

Thanks!

sadaghiani
08.07.2022, 14:23
Hi!

Password needed, public/public not work:


Start build of neutrino-hd2.
Cloning git...Username for 'https://github.com':

DDT, Tangos build ok. LMDE 5, UFS 910.

Thanks!
You can download it manually and place it in the source folder

turulbird
08.07.2022, 16:42
neutrino.mk: https://github.com/mohousch/neutrinohd2 = https://github.com/mohousch/neutrino2 ?

Name changed: - rename nhd2-exp to neutrino2. (https://github.com/mohousch/neutrino2/commit/a46f4309a426d5c87e1f5c7a34f386ced8878600)

Edit neutrino.mk, not work:


Start build of neutrino-hd2.
Cloning git... done.
Checking out commit d2ec257482e841563ad8c29e1aa5253145e4bd21.../bin/bash: line 1: cd: /home/turulbird/buildsystem/build_source/neutrino-hd2: No such file or directory
fatal: reference is not a tree: d2ec257482e841563ad8c29e1aa5253145e4bd21
make: *** [make/neutrino.mk:464: /home/turulbird/buildsystem/.deps/neutrino-hd2.do_prepare] Error 128


Several references have been invalid.

New 2022.07 ddt and tangos image in my homepage.

Audioniek
09.07.2022, 12:18
Hello everybody,

If have noticed the name change of neutrino-hd2 and have pushed a lot of changes. I have done a build for a HS7810A and it completes, but nothing is tested yet!

Regards,
Audioniek.

turulbird
09.07.2022, 15:47
Fehler yaud-neutrino-plugins:


--------------------------------------------------------------
Build of tools-wait4button completed.

--------------------------------------------------------------
Build of system-tools completed.

--------------------------------------------------------------
Build of yaud-none completed.

make: *** No rule to make target '/home/turulbird/buildsystem/.deps/neutrino2-plugins', needed by 'yaud-neutrino-plugins'. Stop.


Edit neutrino.mk: 193 ifeq ($(FLAVOUR), $(filter $(FLAVOUR), neutrino-ddt neutrino-tangos neutrino2))

Build working now.

New error, none: $(ARCHIVE)/$(LIBSTB_HAL).git;


--------------------------------------------------------------
Build of wpa_supplicant 2.9 completed.

================================================== ============

Start build of do_prepare.
Cloning git...fatal: repository '/home/turulbird/Archive/.git' does not exist
done.
cp: cannot stat '/home/turulbird/Archive/.git': No such file or directory
make: *** [make/neutrino.mk:205: /home/turulbird/buildsystem/.deps/.do_prepare] Error

Audioniek
10.07.2022, 01:21
Hello everybody,

Neutrino2-plugins should build now.

You should undo the edit in neutrino.mk: 193 ifeq ($(FLAVOUR), $(filter $(FLAVOUR), neutrino-ddt neutrino-tangos neutrino2)). Neutrino2 does not use a hal-git, as the other neutrinos do.

Regards,
Audioniek.

turulbird
11.07.2022, 12:58
Neutrino2 usb build ok, run, load and automatic shutdown: Goodbye.


Loading /usr/share/tuxbox/iso-codes/iso-639.tab failed.
CNeutrinoApp::SetupFonts: settings font file /usr/share/tuxbox/neutrino/fonts/micron.ttf
CNeutrinoApp::SetupFonts: font file [/usr/share/tuxbox/fonts/arial.ttf] not found
neutrino exit
[rcS] Neutrino ended <- return value: 0
usage: not implemented
General usage:

/bin/fp_control argument [optarg1] [optarg2]
-i --setIcon Args: icon on
Set an icon on or off
Options marked * should be the only calling argument.
Time and date arguments must be in local time.
[rcS] SHUTDOWN
[rcS] Starting Neutrino ->
INIT: Sending processes configured via /etc/inittab the TERM signal
Deactivating swap...umount: can't remount tmpfs read-only
umount: tmpfs busy - remounted read-only
done.
Unmounting local filesystems...umount: can't remount tmpfs read-only
umount: tmpfs busy - remounted read-only
done.
Current Time: 12:46:38 11-07-2022
Time difference: 31528800
001 225 023 096
Goodbye

/usr/share/tuxbox/fonts, /tuxbox and /icons/logo:empty.

Audioniek
12.07.2022, 13:35
Hello everybody,

I have had a brief look at a neutrino2 build and have seen that in the last two years a lot has been changed in what used to be neutrino-hd2. It is therefore not a simple name change with minor differences.

Some progress has been made, as I am now at the point of having an image that starts and tunes in a channel, albeit without a picture (some channels produce sound, others do not). I have not yet added the current changes to the git, as the whole lot is still a messy affair and the image does not work properly anyway. A first impression is that neutrine-hd2 used to be a distinctively different neutrino compared to the others, whereas neutrino2 gives the impression of being merely a variant of the ddt and tango versions, so it does not add much. The last thing I want to convey is that the source is also seems to be not problem free when it comes to the three SH4 receivers supported. Testing the image built from that git involves changing boot loaders as on the fs9000 and hs9510 TDT maxiboot is needed and on the hs8200 bootloader 5.0X, loaders that are as far as I am concerned ancient history.

Regards,

Audioniek.

ainouna
12.07.2022, 15:45
Hello Audioniek
titan for hs7429
everything works fine
but no plugins download
maybe soon
================================
but there is a connection problem
between receiver and ftp/dcce2
connection not possible

Regards
ainouna

turulbird
13.07.2022, 15:13
Hi

LM18.3 32 bit, old WID2010 Notebook, UFS910 titan-wlan usb: ffmpeg (autoconf 2.69-9 ) ok, other build error:



Start build of titan_release.
Copying image to release directory...cp: cannot stat '/home/turulbird/buildsystem/tufsbox/cdkroot/sbin/jfs_fsck': No such file or directory
make/titan-release.mk:520: recipe for target 'titan-release-base' failed
make: *** [titan-release-base] Error 1


LMDE5 ffmpeg error, autoconf 2.69-14

Updated prepare-for-bs.sh error:


./prepare-for-bs.sh: line: 164: syntax error„(” unexpected token
./prepare-for-bs.sh: line: 164: ` read -p "/bin/sh should link to /bin/bash, adjust it (Y/n)? "'

previous work

ainouna
13.07.2022, 17:00
Hi
with ..LM19.3 32 bit
same problem
Updated prepare-for-bs.sh error:
yes previous work

Regards
ainouna

Audioniek
13.07.2022, 22:16
Both problems should be fixed now.

Regards,

Audioniek.

turulbird
13.07.2022, 22:20
prepare-for-bs.sh ok.

Titan ffmpeg not. Downgrade LMDE 5 64 bit autoconf 2.69.-9:


CC libavdevice/utils.o
sh4-linux-gcc: error: unrecognized command line option '-fdiagnostics-color=auto'
make[1]: *** [ffbuild/common.mak:60: libavdevice/reverse.o] Error 1
make[1]: *** Waiting for unfinished jobs....
sh4-linux-gcc: error: unrecognized command line option '-fdiagnostics-color=auto'
make[1]: *** [ffbuild/common.mak:60: libavdevice/utils.o] Error 1
sh4-linux-gcc: error: unrecognized command line option '-fdiagnostics-color=auto'
make[1]: *** [ffbuild/common.mak:60: libavdevice/avdevice.o] Error 1
sh4-linux-gcc: error: unrecognized command line option '-fdiagnostics-color=auto'
make[1]: *** [ffbuild/common.mak:60: libavdevice/alldevices.o] Error 1
make: *** [make/ffmpeg.mk:271: /home/turulbird/buildsystem/.deps/ffmpeg] Error 2

Audioniek
14.07.2022, 09:08
Something strange is happening here. Titan should be built with ffmpeg 3.X.X where the option the error is about is not used. This is regulated in lines 292, 405 & 407 in make.sh. On the other hand if for some reason Titan in your environment is built with ffmpeg 4.3.2 the option should be patched out by line 862 in ffmpeg.mk.

Regards,

Audioniek.

turulbird
14.07.2022, 12:32
LMDE 5: ffmpeg-3.4.3 in /build_tmp, error
LM 18.3 ffmpeg-3.4.3 ok, other error:



Build of titan completed.

================================================== ============

Start build of titan_release.
Copying image to release directory...install: cannot stat '/home/turulbird/buildsystem/root/release/rcS_titan_ufs910': No such file or directory
make/titan-release.mk:520: recipe for target 'titan-release-base' failed
make: *** [titan-release-base] Error 1


End.

ainouna
14.07.2022, 12:49
Hello Audioniek
prepare-for-bs.sh OK
thank you

Regards
ainouna

ainouna
14.07.2022, 17:29
hello again Audioniek
you can't use gstreamer instead of libeplayer3
to build image titan
thank you i am waiting for your answer
Regards
ainouna

Audioniek
19.07.2022, 21:17
Regarding titan with gstreamer: I have added the option to build with gstreamer but also have some remarks about it. The first is that gstreamer has a lot (and I mean a lot) dependencies, increasing the build enormously. The image size grows (on the test build I did) from 26 to 42 Mbytes, a significant growth of almost 75%. This more or less defeats one of the more attractive properties of titan: its small size. It also makes it too large to run from flash in many receivers having only 32 Mbyte of flash memory.

Another remark I would like to add is the actual gain of adding gstreamer is very debatable as it will probably only add the capability to play some obscure file formats not supported by ffmpeg.

In short, I do not see the point and therefore do not recommend it at all. In addition, a gstreamer build is not tested (stated in make.sh) so you are on your own

Regarding plugins: if you look at the code, the URL for the plugin feed is added using an environment variable with the rather revealing name $SECRETURL and the variable is not set anywhere in the publicly accessible source code. To me this means that the titan authors do not want the plugin feed to be public, something I will respect, as the code of those plugins is their intellectual property. This makes the situation with the plugins comparable with those for the HDMU images: HDMU plugins even destroy the image they are installed on is not a genuine HDMU image. With regards to the aspect of intellectual property, titan itself does not not claim any copyright, but also an explicit statement that it is public domain is absent so that status is vague at least.

Regards,

Audioniek.

ainouna
20.07.2022, 11:18
Hello Audioniek
I will test with gstreamer and see
if the mgcamd decrypts the image on titan usb
thank you sir

Regards
ainouna

turulbird
20.07.2022, 16:19
Hi!

UFS 910 ddt new usb minimal and full image added my homepage.

:icon_thumbs1:

ainouna
20.07.2022, 17:32
Hello Audioniek
build titan image with eplayer-gstreamer on USB=OK
--remains the connection problem with the computer (ftp/dcce2)
--problem pti.ko does not decrypt tv channels

thank you again for the work you do sir

Regards
ainouna

ainouna
23.07.2022, 14:21
Hello Audioniek
error compilation
BS_GCC_VER="4.9.4" # Builds gcc through crosstool-ng

Regards
ainouna

pop1234
23.07.2022, 22:25
Hi
try add this Line in folder https://github.com/Audioniek/buildsystem/blob/master/patches/ct-ng/crosstool-ng-1.24.0-4.9.4-sh4.config

CT_GCC_4_9_4=y

save and rebuild

Audioniek
24.07.2022, 12:58
On my build machine with Linux Mint 19.3 ggc 4.9.4 build without problems (takes about three quarters of an hour) and the image build with that compiler completes normally. It is not clear to me whether the build of gcc 4.94 fails or there are error in the image build using gcc 4.9.4.

Meanwhile I have pushed a make.sh that uses gcc .4.8.4. The push of the one with gcc 4.9.4 was in error, although one is of course free to use the gcc version one prefers.

The pti.ko build from my driver git indeed does not descramble locked channels. For that you need pti-np (np=non-public) which is not open source.

Regards,

Audioniek.

ainouna
24.07.2022, 13:09
HI
I build with Linux Mint 19.3 32bits
I added the line
but it does not work
log=
make: *** Aucune règle pour fabriquer la cible « /home/xxxxx/buildsystem/tufsbox/cdkroot/lib/libc.so.6 », nécessaire pour « /home/xxxxx/buildsystem/.deps/bootstrap ». Arrêt.


Regards
ainouna

Audioniek
24.07.2022, 22:30
Something goes wrong with building gcc 4.9.4 as it should leave a file libc.so.6 /home/xxxxx/buildsystem/tufsbox/sh4-stm-linux-gnu/sys-root/lib which is then copied to /home/xxxxx/buildsystem/tufsbox/cdkroot/lib.

The correct line in the config file should read CT_GCC_4_9=y as it is in the git.

As about everything else in the build process depends on the C compiler, be sure to start afresh and do a make distclean beforehand.

Regards,
Audioniek.

ainouna
25.07.2022, 10:55
Hello Audoniek
Thanks for your help
but it does not work
still missing libc.so.6
something is missing in the configuration

Regards
ainouna

kader_73
03.08.2022, 15:01
hello ;


Traying a new build for TiTan ;

I get this error :


kader@debian-kader:~/Titan-build$ ./build
https://:@github.com/BPanther/stlinux/raw/master/stlinux24-cross-sh4-binutils-2.24.51.0.3-77.i386.rpm: Invalid user name.
make/crosstool.mk:30 : la recette pour la cible « /home/kader/Archive/stlinux/stlinux24-cross-sh4-binutils-2.24.51.0.3-77.i386.rpm » a échouée
make: *** [/home/kader/Archive/stlinux/stlinux24-cross-sh4-binutils-2.24.51.0.3-77.i386.rpm] Erreur 1
kader@debian-kader:~/Titan-build$


BR .

ainouna
04.08.2022, 15:55
Hello everybody
==============================
I built a titan image and I incorporated the plugins by modifying binaries
this is not a titan image for original hs7429 it is a modified image
-decryption of channels=ok but no image
-movement with remote control very very slow
-download plugins=ok
-mediathek -iptv=ok no cut
iptv is the only one that is interesting

Regards
ainouna:o

Audioniek
05.08.2022, 10:50
https://:@github.com/BPanther/stlinu...3-77.i386.rpm: (https://:@github.com/BPanther/stlinux/raw/master/stlinux24-cross-sh4-binutils-2.24.51.0.3-77.i386.rpm:) Invalid user name.

This has been reported before and I have not been able to reproduce it. Apparently you need a github account to access these files, although the repository is public.

You can try one of two things. The first is change line 14 in crosstool.mk to

STL_URL = $(GITHUB)/Duckbox-Developers/stlinux

The second is filling in some environment variables:
GIT_USER=<your github account name>
GIT_TOKEN=<your github token>

Hope this helps.

UPDATE: I have changed a few things in buildenv.mk. First try a git pull before resorting to the things above.

Regards,

Audioniek.

turulbird
05.08.2022, 13:07
Hi!

UFS 910 enigma2 usb build ok and run.
But only team ducktales logo in tv, spinner run, and ufs 910 vfd: loading 2, after reboot:


rcS] Loading Enigma2
[rcS] Starting Enigma2 ->
PYTHONPATH: /usr/lib/enigma2/python
DVB_API_VERSION 5 DVB_API_VERSION_MINOR 3
ENIGMA_DEBUG_LVL=3
ntpd: setting time to 2022-08-05 12:51:55.985100 (offset +713011888.534970s)
[MAIN] executing main
Traceback (most recent call last):
File "/usr/lib/enigma2/python/StartEnigma.py", line 27, in <module>
from Screens import InfoBar
File "/usr/lib/enigma2/python/Screens/InfoBar.py", line 5, in <module>
File "/usr/lib/enigma2/python/Screens/MovieSelection.py", line 1, in <module>
File "/usr/lib/enigma2/python/Screens/Screen.py", line 3, in <module>
File "/usr/lib/enigma2/python/skin.py", line 2, in <module>
File "/usr/lib/python2.7/xml/etree/cElementTree.py", line 3, in <module>
ImportError: PyCapsule_Import could not import module "pyexpat"
[rcS] E2 ended <- return value: 0
usage: not implemented
General usage:

fp_control argument [optarg1] [optarg2]
-i --setIcon Args: icon on
Set an icon on or off
Options marked * should be the only calling argument.
Time and date arguments must be in local time.
[rcS] Enigma2 ERROR
[rcS] Starting Enigma2 ->
INIT: Switching to runlevel: 6
INIT: Sending processes configured via /etc/inittab the TERM signal
Deactivating swap...umount: tmpfs busy - remounted read-only
done.
Unmounting local filesystems...umount: automount(pid931) busy - remounted read-only
umount: tmpfs busy - remounted read-only
umount: can't remount /dev/root read-only
umount: can't remount rootfs read-only
done.
mount: mounting /dev/root on / failed: Device or resource busy
Rebooting...


:confused:

Git pull, new build and vfd:UFS910 Enigma2:


[rcS] Starting Enigma2 ->
ntpd: setting time to 2022-08-05 19:52:58.239710 (offset +713037150.752245s)
PYTHONPATH: /usr/lib/enigma2/python
DVB_API_VERSION 5 DVB_API_VERSION_MINOR 3
ENIGMA_DEBUG_LVL=3
[MAIN] executing main
/usr/lib/python2.7/site-packages/OpenSSL/crypto.py:14: CryptographyDeprecationWarning: Python 2 is no longer supported by the Python core team. Support for it is now deprecated in cryptography, and will be removed in the next release.
cat: can't open '/var/lib/opkg/info/kernel-module-*.control': No such file or directory

Network does not start sometimes.

LM 19.3 64 bit. TDT avi:


https://www.dropbox.com/s/uotza2yn0zv2zv8/TDT.AVI?dl=0